CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog bcd counter

搜索资源列表

  1. freqm

    0下载:
  2. a simple implementation of a frequency meter with the BCD-counter and the 7-segment LED display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13084
    • 提供者:wangfeng
  1. bcd_updown_counter2

    0下载:
  2. It is a simple 4-digit bcd up down counter written in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:574
    • 提供者:jason
  1. digital-frequency

    0下载:
  2. 数字频率计 采用Verilog语言编写,分为8个模块,分别是计数器,门控,分频,寄存器,多路选择,动态位选择,BCD译码模块-Digital frequency meter using Verilog language, divided into eight modules, namely, the counter, gated, frequency, register, multiplexer, Dynamic Choice, BCD decoding module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1265776
    • 提供者:multidecoder
  1. a_bcd_counter_using_verilog

    0下载:
  2. 3 bits bcd counter using verilog
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:441090
    • 提供者:atula136
  1. VerilogCode_BCD_counter

    0下载:
  2. Verilog Code for a BCD counter and it is implemented on Altera DE2 board-Verilog Code for a BCD counter and it is implemented on Altera DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1421
    • 提供者:Rahul
  1. ContadorBCD

    0下载:
  2. Verilog counter 0000 to 9999 with BCD visualization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:242291
    • 提供者:groao
  1. counterms

    0下载:
  2. verilog语言写的可置数的倒计时计数器,共四位bcd码,分别为分钟两位和秒两位。波形完美无毛刺.开发环境没找到verilog只好写了vhdl-verilog based counter for minutes and seconds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:644
    • 提供者:yhl
  1. counter

    0下载:
  2. This is 2-BCD numbers Counter on board Altera DE2 Code Verilog HDL (You must import DE2_pin_assignments.csv to use this code)
  3. 所属分类:LabView

    • 发布日期:2014-04-20
    • 文件大小:460800
    • 提供者:nitro
  1. BCD-Counter

    0下载:
  2. Verilog Module for parity
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:24721
    • 提供者:Raz
  1. bcd counter

    0下载:
  2. Binary counter design in verilog
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:176128
    • 提供者:Armaghan
  1. 4位BCD计数器

    0下载:
  2. 用Verilog语言编程实现4位BCD计数器的功能(Write the programm with Verilog language to implement the function of 4 - bit BCD counter.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-01
    • 文件大小:25600
    • 提供者:limaozi
搜珍网 www.dssz.com