CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog counter

搜索资源列表

  1. MCU-counter

    0下载:
  2. 用verilog实现单片机计数器 用verilog实现单片机计数器-MCU with verilog counter with MCU counter verilog
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:748106
    • 提供者:ukh
  1. Verilog 编写的 计数器

    0下载:
  2. 用 verilog 编写的updown counter
  3. 所属分类:VHDL编程

    • 发布日期:2011-03-02
    • 文件大小:393581
    • 提供者:sevenprince
  1. counterfour

    0下载:
  2. verilog code for counter four
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:640
    • 提供者:vmreddy
  1. counter

    1下载:
  2. 关于FPGA实现的几种计数器的verilog源程序-FPGA implementation of several counter verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1848
    • 提供者:王腾
  1. counter

    0下载:
  2. 用Verilog HDL语言实现FPGA的频率等精度测量。(已经过验证)-Using Verilog HDL language, such as FPGA frequency measurement accuracy. (Has already been verified)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-14
    • 文件大小:2582892
    • 提供者:double
  1. counter

    0下载:
  2. verilog写的频率计程序的计数模块,-Verilog written procedures for counting frequency meter module,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:145780
    • 提供者:chen
  1. Verilog--shiyanbaogao

    0下载:
  2. 有实验结果,用MOSIN6编写的,是Verilog HDL语言实现的. 练习三 利用条件语句实现计数分频时序电路 实验目的: 1. 掌握条件语句在简单时序模块设计中的使用; 2. 学习在Verilog模块中应用计数器; 3. 学习测试模块的编写、综合和不同层次的仿真。 练习四 阻塞赋值与非阻塞赋值的区别 实验目的: 1. 通过实验,掌握阻塞赋值与非阻塞赋值的概念和区别; 2. 了解阻塞赋值与非阻塞赋值的不同使用场合; 3. 学习测试模块的编写、综合和不同层
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:15937
    • 提供者:盼盼
  1. UpDownCounter

    0下载:
  2. 8-Bit Up Down Counter Verilog Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:306651
    • 提供者:gunkaragoz
  1. sel_key

    0下载:
  2. verilog写的自动识别的加减计数器,挺好的,也算有自适应能力-Automatic Identification verilog written addition and subtraction counter, very good, and it has adaptive ability to count
  3. 所属分类:Special Effects

    • 发布日期:2017-04-03
    • 文件大小:15521
    • 提供者:山哥
  1. verilog-counter

    0下载:
  2. 利用Verilog实现的数字钟和汽车尾灯,有闹钟,报时,置数等多种功能-Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2128
    • 提供者:xzd
  1. syncount

    0下载:
  2. synchronous counter in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:673
    • 提供者:Srikar Beechu
  1. counter

    0下载:
  2. 用verilog写的计数器,可用于分频等多种功能。已经调试成功很好用-Written with verilog counter, can be used for frequency and other functions. Has been very good success with debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2398
    • 提供者:tangxiaolei
  1. a_bcd_counter_using_verilog

    0下载:
  2. 3 bits bcd counter using verilog
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:441090
    • 提供者:atula136
  1. verilog

    0下载:
  2. 包含了许多verilog编程的实用例子,且有运行之后的V文件,很完整-verilog
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:93209
    • 提供者:徐军
  1. Counter_Design_Block

    0下载:
  2. Here is a code for a simple counter based on verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:11332
    • 提供者:spectrojin
  1. ds1621_latest.tar

    0下载:
  2. DS1621 verilog model with testing tasks. Testing elements assume the existence of the low level write/read (need to be written by the user) and include the macro tasks based on that write/read tasks. A test with macros is included. Only the Slope and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:14102
    • 提供者:Ning
  1. mod10asynchro

    0下载:
  2. this is a verilog code for asynchronous mod-10 counter.its also called a decade counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:23191
    • 提供者:swapna
  1. verilog

    0下载:
  2. 文件包含了寄存器,移位寄存器,可能计数器,计数器等用VHDL实现的功能模块。-File contains the register, shift register, may counter, counter, implemented with the VHDL modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4398
    • 提供者:朱向南
  1. time-counter

    0下载:
  2. 基于verilog的计时器源代码,可以通过编译-Verilog source code based on the timer, you can compile
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2555893
    • 提供者:张迪
  1. VERILOG-COUNTER

    0下载:
  2. COUNTER DESIGN IN VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:10836
    • 提供者:pradeep
« 12 3 4 5 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com