CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog ldpc code

搜索资源列表

  1. decode.rar

    0下载:
  2. LDPC的Verilog程序源代码,包括仿真数据等。文件很大,请慢慢下载,LDPC of Verilog source code, including the simulation data. Large file, please download slowly
  3. 所属分类:3G develop

    • 发布日期:2017-05-28
    • 文件大小:10801628
    • 提供者:陈炜炜
  1. LDPC_Behavioral_VHDL

    0下载:
  2. 用VHDL语言编写的LDPC码硬件实现语言,相对于verilog的,比较简单-Using VHDL language LDPC code hardware implementation language, compared to Verilog, and relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1972
    • 提供者:王明
  1. H_2048x4096

    0下载:
  2. LDPC 码二进制规则码生成矩阵2048*4096,效果很理想-LDPC code rules binary code generated matrix 2048* 4096, the effect is very satisfactory
  3. 所属分类:3G开发

    • 发布日期:2013-10-12
    • 文件大小:56993
    • 提供者:wwwwomen
  1. H_512x1024

    0下载:
  2. LDPC 码二进制规则码生成矩阵512*1024,效果很理想-LDPC code rules binary code matrix to generate 512* 1024, the effect is very satisfactory
  3. 所属分类:3G开发

    • 发布日期:2013-10-12
    • 文件大小:9806
    • 提供者:wwwwomen
  1. ldpc

    2下载:
  2. 最近在做毕设,ldpc码的编解码实现,这个是verilog实现。-Recently completed the set up to do, ldpc code codec implementation, this is the Verilog implementation.
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-08-16
    • 文件大小:8992
    • 提供者:fly
  1. ldpc_c_code

    0下载:
  2. LDPC码在基于BP (Belief Propagation) 的迭代译码相结合的条件下具有逼近Shannon 限的性能,是继turbo 码后在纠错编码领域又一重大进展。压缩文件中给出了LDPC在高斯信道下的c程序。-LDPC codes based on BP (Belief Propagation) Iterative Decoding of combining conditions with performance approaching Shannon limit on the heel
  3. 所属分类:通讯编程

    • 发布日期:2013-10-12
    • 文件大小:7370
    • 提供者:aiguixia
  1. ldpcverilog

    0下载:
  2. verilog编写的ldpc编码的源代码 -ldpc prepared verilog source code
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:9093
    • 提供者:paul
  1. Realization_of_FPGA_for_LDPC_encoding

    0下载:
  2. 低密度奇偶校验码(简称LDPC码)是目前距离香农限最近的一种线性纠错码,它的直接编码运算量较大,通常具有码长的二次方复杂度.为此,利用有效的校验矩阵,来降低编码的复杂度,同时研究利用大规模集成电路实现LDPC码的编码.在ISE 8.2软件平台上采用基于FPGA的Verilog HDL语言实现了有效的编码过程,为LDPC码的硬件实现和实际应用提供了依据-Abstract:Low.density parity·check code(LDPC code)is a kind of linear eror
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:166294
    • 提供者:秦小星
  1. ps_decoder3_12_80_mod

    0下载:
  2. PS-LDPC码译码器的Verilog程序-PS-LDPC code decoder of the Verilog program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:30197
    • 提供者:王昆
  1. the-decoding-algorithm-of-ldpc

    1下载:
  2. ldpc译码算法介绍及fpga verilog系统方案设计,包括log_bp算法、min_sum算法、scaling_min_sum算法等-introducing the ldpc code decoding algorithm and the related system design,including the log_bp,the min_sum and the scaling_min_sum
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-14
    • 文件大小:81513
    • 提供者:类春阳
  1. ldpc-encode

    0下载:
  2. 深空通信中AR4JA码编码的研究与实现,AR4JA码是LDPC码的一种,文件中是Verilog语言的硬件实现。-Research and Implementation of the Deep Space Communications AR4JA coding, AR4JA code LDPC codes a hardware implementation of the Verilog language file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3565840
    • 提供者:liangliang
  1. dvb_s2_ldpc_decoder_latest.tar

    0下载:
  2. 用于数字电视机顶盒的DVB-S2的LDPC编码的解码模块,verilog代码-For digital TV set-top boxes of DVB- S2 LDPC coding, decoding module of verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22222853
    • 提供者:YUKAI ZHANG
  1. vnp

    0下载:
  2. 移动通信技术中信道编码的LDPC码的VNP的Verilog hdl 实现-Channel coding of mobile communication technology LDPC code VNP realization of Verilog hdl
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:1229
    • 提供者:杜欧鸥
  1. QC-LDPC-decoder-FPGA

    0下载:
  2.  文章提出了一种可以兼容不同码率规则和非规则准循环低密度校验码(LDPC)的部分并行译码结构, 用Verilog语言开发,基于该部分并行结构在Altera公司的StratixII-EP2S90器件上验-This paper presents a part of different bit rates can be compatible with the rules and irregular quasi-cyclic low density parity check code (LDPC) de
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:421250
    • 提供者:我问问
  1. verilog_rtl

    0下载:
  2. 关于LDPC解码的verilog程序,包含设计代码和验证环境-LDPC decoding on verilog procedures, including the design code and verification environment
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:64663
    • 提供者:chenxiaolei
  1. XOR_tree

    0下载:
  2. This source code is a check node unit for LDPC decoder. The language is Verilog HDL.
  3. 所属分类:3G develop

    • 发布日期:2017-05-17
    • 文件大小:4321025
    • 提供者:Lee Jeahack
  1. ldpc576

    1下载:
  2. 基于wimax协议的低密度奇偶校验码LDPC的VERILOG实现,亲测可用。-WiMAX protocol based on the low density parity check code VERILOG LDPC implementation, pro test available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-18
    • 文件大小:546816
    • 提供者:kobe
  1. e60a9bd4-ef5c-4c89-bfb3-9da40d5e4aba

    0下载:
  2. 低密度校验码 ,很好用的代码,功能已经实现编码和译码(Low density parity check code, very good code, the function has been achieved encoding and decoding)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-29
    • 文件大小:8192
    • 提供者:RubenJH
  1. src

    3下载:
  2. 用verilog实现ldpc最小和译码算法(This code is for the decode of MS-algorithm based on LDPC.)
  3. 所属分类:其他

    • 发布日期:2018-04-15
    • 文件大小:49152
    • 提供者:w_w_m
  1. LDPC

    2下载:
  2. LDPC编码的硬件代码,可在modelsim上验证(verilog code for ldpc encode)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-09
    • 文件大小:4233216
    • 提供者:邹润秋
« 12 »
搜珍网 www.dssz.com