CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vga driver

搜索资源列表

  1. uclinux.vga.driver

    0下载:
  2. UCLINUX2.6核下的vga驱动。基于framebuffer机理。硬件设计采用基于FPGA的软核NIOSII设计。-UCLINUX2.6 the upper vga driver. Based on the mechanism of the framebuffer. Hardware design using FPGA-based soft-core NIOSII design.
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:4715
    • 提供者:Ray ZH
  1. uclinux vga driver

    0下载:
  2. uclinux下用于驱动vga的程序
  3. 所属分类:文档资料

    • 发布日期:2010-05-17
    • 文件大小:29184
    • 提供者:qtworry
  1. S8_VGA.VGA显示接口的verilog控制程序

    1下载:
  2. VGA显示接口的verilog控制程序。用于VGA显示器的控制驱动,VGA display interface Verilog control procedures. Control for VGA display driver
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-09
    • 文件大小:1127454
    • 提供者:zl.yin
  1. AT89C2051-driver-VGA

    1下载:
  2. 51单片机驱动VGA显示器,玩方块游戏. 整板测试完毕,基本满意。-51 Microprocessor VGA display, play the box game
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:65433
    • 提供者:ASU
  1. vhdl-vga

    0下载:
  2. VGA 用FPGA驱动VGA显示器并控制部分及横条、竖棋盘格-VGA monitor with a VGA driver and control the FPGA part and the bar, vertical checkerboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1287
    • 提供者:杨宇
  1. VGA-VerilogHDL

    0下载:
  2. 用Verilog HDL编写的VGA显示驱动程序-Verilog HDL prepared with VGA display driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:142025
    • 提供者:liping
  1. DE2_VGA3

    0下载:
  2. The VGA example generates a 320x240 diffusion-limited-aggregation (DLA) on Altera DE2 board. A DLA is a clump formed by sticky particles adhering to an existing structure. In this design, we start with one pixel at the center of the screen and allow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1276567
    • 提供者:Donghua Gu
  1. uclinux.vga.driver

    0下载:
  2. nios上的uClinux环境下的VGA驱动-Nios uClinux on the circumstances of VGA driver
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-14
    • 文件大小:4447
    • 提供者:A.W
  1. vga_control

    0下载:
  2. vga输出控制示例,具有很好的启发性。帮助开发vga驱动。-vga output control sample, with a good thought-provoking. Assist the development of vga driver.
  3. 所属分类:Graph program

    • 发布日期:2017-04-14
    • 文件大小:2999
    • 提供者:任林全
  1. VGA

    0下载:
  2. 基于飞思卡尔I.MX27的VGA显示部分驱动,稳定输出800×600,不闪烁,已经测试过。-Based on Freescale' s I.MX27 part of the VGA display driver, stable output of 800 × 600, no flicker, has been tested.
  3. 所属分类:Graph program

    • 发布日期:2017-05-22
    • 文件大小:6836018
    • 提供者:王晓辉
  1. VGA_Controller

    0下载:
  2. It is the VGA driver or controller to communicate with VGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1489
    • 提供者:jason
  1. VGA

    0下载:
  2. 黑金——VGA驱动,可以直接下载到黑金板子上,芯片为EP2C8Q208C8,主要是VGA的驱动以及显示-Black Gold- VGA driver, you can download directly to black gold board, chip EP2C8Q208C8, mainly VGA driver and display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:552872
    • 提供者:肖北
  1. VGA

    0下载:
  2. verilog HDL语言写的VGA驱动代码-HDL write VGA driver code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:420085
    • 提供者:
  1. vga

    0下载:
  2. verilog语言编写的VGA图像显示,此模块可以直接使用,可以帮助你很好地掌握VGA的驱动-Verilog language VGA image display, the module can be used directly, can help you have a good grasp of the VGA driver
  3. 所属分类:Picture Viewer

    • 发布日期:2017-03-31
    • 文件大小:2074
    • 提供者:wangjianfei
  1. vga

    0下载:
  2. 基于verilog的vga代码,内附vga驱动时序的完整资料-Based on the vga verilog code, containing complete information on the timing vga driver
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:278565
    • 提供者:ghost
  1. Most-new-VGA-timing-standards

    0下载:
  2. 最​ 新​ V​ G​ A​ 时​ 序​ 标​ 准,对FPGA编写VGA驱动有帮助-Most new V G A timing standards for the preparation of FPGA VGA driver help
  3. 所属分类:Special Effects

    • 发布日期:2017-05-02
    • 文件大小:613304
    • 提供者:liang
  1. VGA

    0下载:
  2. VGA驱动源码,Verilog HDL代码,50Hz-VGA driver source code, HDL Verilog code, 50Hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1047
    • 提供者:金英
  1. VGA

    0下载:
  2. 用verilog编写的vga显示colorbar图像。包含VGA驱动程序,分辨率为640*480.-Vga with verilog display colorbar image. Includes VGA driver with a resolution of 640* 480.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:1021
    • 提供者:刘宇洋
  1. Design-VGA-Verilog

    0下载:
  2. FPGA VGA例程驱动程式,用Verilog语言来编写的。(FPGA VGA routines driver, written in Verilog language.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:8011776
    • 提供者:神通广大
  1. VGApika1

    0下载:
  2. 实现VGA驱动,能实现皮卡丘图像的显示 新手入门(VGA driver, Pikachu display)
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com