CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhDL DIGITAL DOWN CONVERTER

搜索资源列表

  1. ddc.rar

    2下载:
  2. 数字下变频器的matlab实现,一定的设计指标,可以用来知道vhdl程序设计,Digital Down Converter for matlab realized, certain design specifications that can be used to know VHDL Programming
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:2123
    • 提供者:杨斌
  1. DDC.rar

    4下载:
  2. verilog语言实现的数字下变频设计。 在ALTERA的QUARTUS ii下实现。实用,好用。,Verilog language implementation of the digital down-conversion design. ALTERA at the implementation of QUARTUS ii. Practical, easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-20
    • 文件大小:43795
    • 提供者:咚咚
  1. FPGAfrequencytransformer

    0下载:
  2. 基于FPGA的数字下变频器设计,分析数字下变频结构及其实现方法-FPGA-based digital down-converter design, analysis, digital down-conversion architecture and implementation method of
  3. 所属分类:Project Manage

    • 发布日期:2017-04-10
    • 文件大小:1868793
    • 提供者:赵时
  1. project_UHF_ddc

    1下载:
  2. vhdl语言写的数字下变频的实现,整个工程文件,xlinx ise用的-VHDL language written in the realization of digital down conversion, the whole project file, xlinx ise used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1869233
    • 提供者:杨斌
  1. lpl

    0下载:
  2. 用于数字下变频器的 FPGA 实现 -Digital Down Converter for the FPGA to achieve
  3. 所属分类:Compiler program

    • 发布日期:2017-05-11
    • 文件大小:2790624
    • 提供者:camilla
  1. DDC_CIC

    0下载:
  2. 用CIC 和 FIR Filters设计的数字下变频器,DSP Builder6.1版工程文件-Using CIC and FIR Filters Design of Digital Down Converter, DSP Builder6.1 version of project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:50328
    • 提供者:
  1. FIR_TEST

    0下载:
  2. 应用matlab 软件设计了下变频器中的CIC、HB、FIR滤波器等核心模块,并将各模块融为一体从软件实现的角度完成了对系统的搭建和功能仿真。-About such key algorithms as CIC, HB, FIR of each module in down- conversion, discussion, abstraction and summarization are given in this paper. Using the MATLAB software, we des
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:182372
    • 提供者:邓建良
  1. timing_recovery

    0下载:
  2. 我对一个输入调制信号:采样率FS=1200K,中心频率F0=300K,带宽300K。输入信号为一个[样点数,2]的矩阵,即I,Q两路. 进行频谱搬移,分为I,Q分量两路进行矢量乘法,NCO的设置为FC=300K,t=样点数乘以1/FS, 乘完以后我的频谱上显示竟然信号带宽增加了300K,但是中心频率没有改变,请问各位朋友是哪儿出了问题?谢谢您的阅读和意见-Digital Down Converter for matlab realized, certain design speci
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:22174
    • 提供者:Jose
  1. digital_down_convertation

    0下载:
  2. 我对一个输入调制信号:采样率FS=1200K,中心频率F0=300K,带宽300K。输入信号为一个[样点数,2]的矩阵,即I,Q两路. 进行频谱搬移,分为I,Q分量两路进行矢量乘法,NCO的设置为FC=300K,t=样点数乘以1/FS, 乘完以后我的频谱上显示竟然信号带宽增加了300K,但是中心频率没有改变,请问各位朋友是哪儿出了问题?谢谢您的阅读和意见-Digital Down Converter for matlab realized, certain design speci
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-05
    • 文件大小:2123
    • 提供者:Jose
  1. DDC

    0下载:
  2. 多通道数字下变频的硕士论文,电子科大2009-多通道数字下变频器的研究与设计!-Multi-channel digital down conversion of the master' s thesis, UESTC 2009- multi-channel digital down converter in the research and design!
  3. 所属分类:Project Design

    • 发布日期:2017-05-20
    • 文件大小:6139689
    • 提供者:peter
  1. digital-quadrature-down-converter

    2下载:
  2. 基于FPGA的数字正交下变频器设计,在ALTERA的DE2开发板上设计一个多相滤波结构数字正交变换器。其中多相滤波模块是最关键模块,该模块将64阶滤波器的系数分成奇偶两路,并通过VHDL常数的方式存储在模块内部。这些常数是通过在MATLAB中调用FDATool,根据滤波器的参数要求来生成的。这些浮点格式的滤波器系数还需要在MATLAB中计算成二进制补码的形式,才可以存储在模块中。-FPGA-based digital quadrature down-converter design, ALTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7411722
    • 提供者:joey
  1. digital-down-converter-ddc

    0下载:
  2. 这是用VHDL语言写的数字下变频模块,包含整个工程文件,数字下变频是软件无线电中的关键技术,可以直接用Quartus II打开。-this is a module of DDC(Digital Down Converter) with VHDL, it includes the whole project,you can open it with Quartus II directly.
  3. 所属分类:Communication

    • 发布日期:2017-05-21
    • 文件大小:6003701
    • 提供者:李源码
搜珍网 www.dssz.com