CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 信号

搜索资源列表

  1. DDS_信号源

    0下载:
  2. dds 精确步进100HZ.拨码开关选择FSK,FM等功能.最高频率25M,DA芯片9760.VHDL编写
  3. 所属分类:VHDL编程

    • 发布日期:2009-08-20
    • 文件大小:5324098
    • 提供者:wuyunzheng
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. 交通灯VHDL

    1下载:
  2. 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作/复位和紧急情况两种工作模式。
  3. 所属分类:文档资料

  1. FPGA 做的音频信号分析仪

    2下载:
  2. 使用 Xilinx 的 FPGA 做的音频信号分析仪,附详细说明及 VHDL 源程序.
  3. 所属分类:VHDL编程

    • 发布日期:2011-06-30
    • 文件大小:17190667
    • 提供者:xyz543
  1. 数字信号处理的fpga实现

    0下载:
  2. 数字信号处理的fpga实现,用VHDL语言编程实现IIR滤波器,Digital signal processing to achieve the FPGA, using VHDL language programming to achieve IIR filter
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:1214
    • 提供者:songjunmin
  1. VHDL.rar

    0下载:
  2. 为了满足对移动通信中带外的要求 GMSK调制器的改进 用数字信号处理方法实现Gmsk调制器,In order to meet the needs for in-band mobile communications requirements of GMSK modulator to improve the use of digital signal processing method Gmsk modulator
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-04
    • 文件大小:133893
    • 提供者:罗竟然
  1. DDS.rar

    1下载:
  2. DDS信号发生器,利用VHDL实现,可根据频率控制字的改变输出不同频率的信号,最高可到达10MBPS,DDS signal generator, the use of VHDL realization of frequency control word in accordance with changes in output signals of different frequencies, the maximum arrival 10MBPS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:784192
    • 提供者:陈宇
  1. VHDL.rar

    0下载:
  2. 正弦信号发生器具有频率调节功能。采用VHDL编程实现。,Sinusoidal signal generator with a frequency adjustment function. Using VHDL programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1482420
    • 提供者:朱广利
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. VHDL

    0下载:
  2. 1、 设计一个简易电子琴。要求能演奏的音域为中音的 1 到高音的 1。 2、 用GW48-PK2中的8个按键作为琴键。 3、 GW48-PK2中有扬声器。 4、 可以使用GW48-PK2上的12MHz作为输入时钟信号。 -1, the design of a simple flower. Requirements can play for the tenor of the range of 1 to treble the 1.2, and GW48-PK2 in eight ke
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:31012
    • 提供者:朱磊
  1. FFT(VHDL)

    0下载:
  2. 数字信号处理fft算法计算,用fpga开发,vhdl语言写成-Digital signal processing fft algorithm using FPGA development, vhdl language
  3. 所属分类:Algorithm

    • 发布日期:2017-03-23
    • 文件大小:14831
    • 提供者:程钢
  1. FFT_VHDL

    0下载:
  2. fft是基本的信号处理算法,本程序为fft算法的VHDL语言-fft is a fundamental signal processing algorithms, the procedures for the fft algorithm VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:29247
    • 提供者:老李飞刀
  1. VHDL

    0下载:
  2. 采用VHDL语言设计一个4通道的数据采集控制模块。系统的功能描述如下: 1.系统主时钟为100 MHz。 2.数据为16位-数据线上连续2次00FF后数据传输开始。 3.系统内部总线宽度为8位。 4.共有4个通道(ch1、ch2、ch3、ch4),每个通道配备100 Bytes的RAM,当存满数据后停止数据采集并且相应通道的状态位产生报警信号。 5.数据分为8位串行输出,输出时钟由外部数据读取电路给出。 6.具备显示模块驱动功能。由SEL信号设置显示的通道,DISPLAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5783271
    • 提供者:pengfu
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. VHDL

    0下载:
  2. DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:736095
    • 提供者:wang
  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. vhdl

    0下载:
  2. 信号与线性系统的时频域分析:观测已知方波信号、正弦波信号的频谱;观测实时模拟信号的频谱;加深理解时域周期信号的各频率分量在振幅频谱图上所占的比重;观测相位在波形合成中的作用;LTI系统的频域分析,LTI系统对周期性输入信号的响应。-Signals and linear systems with time-frequency domain analysis: observation known square wave signal, sine wave signal spectrum obser
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:7521
    • 提供者:无语
  1. vhdl

    0下载:
  2. 《数字信号处理的FPGA实现》(第二版)光盘VHDL代码-" The FPGA digital signal processing to achieve" (second edition) CD-ROM VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:251456
    • 提供者:王昊
  1. EP1C3_12_7_SPCTR

    0下载:
  2. 基于FPGA的信号采集及频谱分析,用VHDL编写,压缩包里是Quartus下的工程。AD采样用状态机实现,并存入LPM_RAM。设计了一个UART模块(也是状态机实现的),可将数据发到PC机上。-FPGA-based signal acquisition and spectral analysis, prepared with VHDL, Quartus compression bag is the next project. AD sampling state machine used to
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:214074
    • 提供者:deadtomb
  1. sji

    0下载:
  2. 频率合成技术在现代电子技术中具有重要的地位。在通信、雷达和导航等设备中,它可以作为干扰信号发生器;在测试设备中,可作为标准信号源,因此频率合成器被人们称为许多电子系统的“心脏”。直接数字频率合成(DDS——Digital Direct Frequency Synthesis)技术是一种全新的频率合成方法,是频率合成技术的一次革命。本文主要分析了DDS的基本原理及其输出频谱特点,并采用VHDL语言在FPGA上实现。对于DDS的输出频谱,一个较大的缺点是:输出杂散较大。针对这一缺点本文使用了两个方法
  3. 所属分类:Project Design

    • 发布日期:2017-03-26
    • 文件大小:961592
    • 提供者:番薯军
« 12 3 4 5 6 7 8 9 10 ... 42 »
搜珍网 www.dssz.com