CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 电梯控制

搜索资源列表

  1. VDHL

    0下载:
  2. 电梯的设计·用来控制6层的电梯设计原来·VHDL语言-Elevator designed to control the lift design 6 original VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:162737
    • 提供者:tdh
  1. THREELIFT

    0下载:
  2. 三层电梯控制器 1.每层没门口有上升和下降请求开关,电梯内设有顾客到达层次停站请求开关 2.门口有位置指示装置及电梯运行模式指示装置 3.电梯每秒升(降)一层楼 4.到达有停站请求的楼层经过一秒打开门,开门灯亮,四秒后关门,开门灯灭,继续运行,直到最后一个请求信号停留在当前层 5能记忆电梯内所有请求,按电梯运行规则顺序响应,每个请求信号保留至执行后消除 6.电梯运行规则:当电梯上升时,只响应比所在位置高的上升请求,依次执行 如有高层下降请求,则直上到该层然后下降.下降时相
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:4794
    • 提供者:吴琳珠
  1. lift3

    0下载:
  2. 本程序是三层电梯程序,包括控制部分、led数码管显示部分,并可由此程序模仿写出任意层次的电梯程序-This procedure is a three-lift procedures, including control of some, led digital tube display, and the resulting program-level imitation write arbitrary lift procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1853
    • 提供者:zhaohongliang
  1. dianti

    0下载:
  2. 本电梯控制器分为主控制器和分控制器。主控制器是电梯内部的控制器,每个楼层有一个分控制器。-The elevator controller is divided into the main controller and sub controller. Main controller is inside the elevator controllers, each floor there is a sub-controller.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:2250
    • 提供者:kid
  1. Lift_Controller

    0下载:
  2. 这个文件包含了我前一段写的关于3~8电梯控制的4-5个程序!并且附有比较详细的注释.准确说这是一份课程设计报告.在最终版本的程序中对于FLEX10K系列器件只占用141个逻辑单元,频率可达60多Mhz,选择CycloneII器件可达260多Mhz.因为包含了好几个程序,希望站长不要只安一个程序处理,能及时开通!-This document contains a section of my previous writing on the 3 to 8 elevator control proced
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:897955
    • 提供者:jesse
  1. dianti

    0下载:
  2. 电梯控制器程序设计 六层楼 含有详细解释以及波形-Elevator controller program design containing six-storey buildings, as well as a detailed explanation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:162374
    • 提供者:龙丽丽
  1. lift_syn

    0下载:
  2. 实现简易4层电梯控制核心模块,完成了电梯上下层控制、指示灯显示、优先级判断等多种常用功能。-The realization of simple 4 layer core elevator control module, the completion of the elevator on the lower control, indicator light shows that determine the priority and many other commonly used function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:65170
    • 提供者:lixiaoyang
  1. Lift

    1下载:
  2. VHDL编写的6层电梯控制器,可在Altera的CPLD系统运行实验,内附实验报告-VHDL prepared 6-storey elevator controller in Altera s CPLD system experiment, experimental report containing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:770573
    • 提供者:许昕
  1. 61EDA_D929

    0下载:
  2. 控制两组,每组三个电梯的verilog实现-Control of two groups of three elevators Verilog realization of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:669236
    • 提供者:吴奉
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. elevator

    0下载:
  2. VHDL开发环境,电梯控制系统,实现电梯的上下传送控制。-VHDL development environment, elevator control system, transmission control up and down elevators.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1763973
    • 提供者:王蕊
  1. Elevator

    1下载:
  2. 基于FPGA的6层电梯控制器,使用VHDL编程,用quartus ii进行仿真模拟-Elevator Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:162470
    • 提供者:xieyi
  1. diantikongziqi

    0下载:
  2. 电梯控制器的设计与分析.对电梯的控制过程进行VHDL语言描述。-Elevator controller design and analysis. On the elevator control process described in VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:422304
    • 提供者:水牛EDA
  1. elevator

    0下载:
  2. 这是一个小课程设计,关于电梯控制的vhdl仿真程序,内容十分完整-This is a small curriculum design, on the elevator control of vhdl simulation program, very complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:285481
    • 提供者:fengyun
  1. lift3

    0下载:
  2. 实现两个四层电梯同时控制,有开门、关门、报警功能-To achieve simultaneous control of two four-story elevator, there are open, closed, alarm function
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:5941
    • 提供者:陈林
  1. zdsjdt

    0下载:
  2. 自动升降电梯控制器设计 要求: 设计一个6层楼的电梯控制器。 该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警; 同时指示电梯运行情况和电梯内外请求信息。-Auto-Lift elevator controller design requirements: design of a six-story elevator controller. The controller can control the completio
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:140896
    • 提供者:dws
  1. VHDLdianti

    1下载:
  2. 电梯控制 记忆,上升下降停站 超载报警故障.....。-Verilog EDA dianti
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:382346
    • 提供者:zhu
  1. dianti

    1下载:
  2. FPGA的电梯控制程序,用vhdl语言实现电梯的控制的代码-FPGA elevator control program, using vhdl language implementation code for the control of the elevator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-18
    • 文件大小:3309
    • 提供者:萤火虫
  1. lift

    0下载:
  2. VHDL语言设计的16层电梯控制,带LED显示功能,两种时钟周期-vhdl lift
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:2345
    • 提供者:xueze520
  1. a

    0下载:
  2. 一个VHDL的电梯控制系统的论文,含代码及仿真文件-A VHDL papers elevator control system, including code and simulation files
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:119490
    • 提供者:sylor
« 12 3 4 »
搜珍网 www.dssz.com