CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 自动售货机

搜索资源列表

  1. 自动售货机VHDL程序与仿真

    0下载:
  2. 自动售货机VHDL程序与仿真,源码,具有很高的参考价值!-vending machines procedures and VHDL simulation source code, the high reference value!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:143711
    • 提供者:温暖感
  1. soldervhdl

    0下载:
  2. 自动售货机的vhdl程序 在quartus环境下编译运行通过-Vhdl program for vending machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7026
    • 提供者:dreamy
  1. +VHDL

    0下载:
  2. 很详细用VHDL写的自动售货机程序有详细的说明和设计要求实现功能-Very detailed written using VHDL vending machine procedure is described in detail and design requirements for the realization of function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3260
    • 提供者:linhua
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. zidongshouhuoji

    0下载:
  2. 某自动售货机售A,B,C3种商品,他们的价格分别为1,3,4。 售票机进接受一元硬币。售货机面板上设有投币孔和退钱建,每种商品标识处有选择按键,上有指示灯表明当前投币说是否已经足够选买该商品。-A vending machine to sell A, B, C3 kinds of merchandise, their prices were 1,3,4. Into the ticket vending machines to accept one-dollar coins. Vending
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1063
    • 提供者:孙尚龙
  1. vhdl4

    0下载:
  2. 自动售货机VHDL程序与仿真的vhdl的源代码-Vending machine VHDL procedures and simulation of vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:143387
    • 提供者:胡爱军
  1. de1_fsm

    1下载:
  2. 自动售货机的程序,全套,直接下载到板子就可以了!-VHDL for automatic!
  3. 所属分类:其他小程序

    • 发布日期:2013-01-15
    • 文件大小:435087
    • 提供者:查新
  1. sale

    0下载:
  2. 关于自动售货机的报告,内有源程序和DC综合网表图-Report on the vending machine with a DC source and an integrated network chart
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:559999
    • 提供者:小阳
  1. sellmachine

    0下载:
  2. 自动售货机,程序很完美,功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能-sell machine ,in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1677
    • 提供者:zhuzi
  1. 8

    0下载:
  2. 8[1] .21 自动售货机 VHDL程序 与仿真.doc-8 [1] [1] .21 vending machine and simulation of VHDL procedures. Doc
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:143390
    • 提供者:fufeifei
  1. vhdl

    1下载:
  2. EDA 自动售货机 包涵源程序和仿真原理图。课程设计内容-EDA vending machine
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:61643
    • 提供者:WX
  1. vhdl

    0下载:
  2. 找到的一个自动售货机的vhdl程序,关于eda的设计有没有最新的一些说明之类的饿-The vendor 1 of source code . vendor can sell 3 kinds of merchandise: The Hamburg and 1 Yuan of hot dog wrap 2 Yuan and double-deck Hamburg 3 Yuan. It is infinite to set up quantity. 2 . vendor promise input 1
  3. 所属分类:File Formats

    • 发布日期:2017-03-31
    • 文件大小:61664
    • 提供者:myth
  1. Vending_machine

    0下载:
  2. 自动售货机VHDL程序与仿真,介绍了售货机的详细程序。-Vending machine VHDL simulation procedures and to introduce the detailed procedure of the machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:143873
    • 提供者:
  1. Autoseller

    0下载:
  2. 基于VHDL开发的自动售货机系统,可实现自动售货过程中的基本功能,具有一定的代表性。-VHDL-based development of a vending machine system which can automatically process the basic functions of sales, with a certain representativeness.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5194
    • 提供者:Joseph
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vending machine control system desig
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:67212
    • 提供者:dws
  1. automat

    0下载:
  2. 自动售货机:1、通过开关选择购买6角或8角的邮票;2、按下购买键,开始购买;3、按相应键,输入1角、5角、1元硬币;4、当输入硬币总值大于等于欲买邮票价值时,相应邮票输出并找零(邮票输出,找零均用LED灯表示,找零也有1角、5角、1元三种)5、若想终止交易,可按退钱键,退出已输硬币,交易结束。-Vending machines: one, through the switch to choose to buy six cents or 8 corner stamps 2, press the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-11
    • 文件大小:991110
    • 提供者:duj
  1. EDA07

    0下载:
  2. 能识别5c10c25c三种硬币,可以实现退币找零等功能VHDL编程自动售货机-5c10c25c can identify three kinds of coins and currency can be achieved through irregular features such as back VHDL programming vending machine
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:4520
    • 提供者:vina
  1. VHDL-Vending-machine

    0下载:
  2. 用VHDL设计自动售货机,能实现自动找零的功能。-Vending machines with VHDL design, auto-change function can be realized.
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:540493
    • 提供者:毛毛
  1. vending_machine

    0下载:
  2. 一个简单的自动售货机控制器,投足25美分便发糖果,并且自动找零-A simple vending machine controller, 25 cents will be made every move she makes candy and give change automatically
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:617
    • 提供者:treeyellow
  1. zidongshouhuojisheji

    1下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited system of state, state, the v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:34587
    • 提供者:高菲悦
« 12 3 4 »
搜珍网 www.dssz.com