CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 音乐

搜索资源列表

  1. VHDL语言写的音乐演奏程序

    2下载:
  2. 用VHDL语言写的,音乐演奏程序,范例歌曲为“青花瓷”片段。
  3. 所属分类:VHDL编程

    • 发布日期:2012-03-22
    • 文件大小:700184
    • 提供者:xiezheqi
  1. musicplay

    0下载:
  2. 用VHDL编写的一款音乐播放软件,可以播放10首歌曲
  3. 所属分类:源码下载

  1. VHDL_procedures.rar

    0下载:
  2. VHDL程序来让蜂鸣器发出音乐的声音 这种电路设计要分好几个模块 主要思路是用ROM记录乐谱 然后用分频器分频 还有就是用计数器读取乐谱 另外还可以扩展 使其显示音符 这是一个做好了的 就是ROM没填谱,VHDL procedures are in place to allow the voice of music The buzzer sounded a circuit design that several sub-modules to the ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1568
    • 提供者:yy0838
  1. music.rar

    0下载:
  2. 用VHDL语言仿真音乐设计 用VHDL语言仿真音乐设计,Simulation using VHDL language music design music design simulation VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:227523
    • 提供者:晴天
  1. VHDL

    2下载:
  2. 基于vhdl语言的音乐播放器的设计代码。请各位可以根据自己的需要用。-Vhdl language-based music player, the design of the code. Members can be used according to their own needs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:88752
    • 提供者:赵小孩
  1. S1_38yima

    0下载:
  2. 利用fpga作为控制器让蜂鸣器实现播放音乐-verilog fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:40391
    • 提供者:wphyl
  1. beep

    0下载:
  2. 用CPLD驱动扬声器实现音乐的播放,程序是用VERILOG写的,-CPLD driver speakers with music player, the program is written in VERILOG,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:262401
    • 提供者:wagjur
  1. music_1

    1下载:
  2. 这是一个在Quartus II软件中编写的vhdl程序。程序下载后可用蜂鸣器播放音乐 -This is a Quartus II software in the preparation of the VHDL program. After the buzzer can be used to download music player
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:606350
    • 提供者:班超帆
  1. music

    0下载:
  2. 出血FPGA,用VHDL做的音乐盒,请各位大侠指点。-Bleeding FPGA, using VHDL to do a music box, please instruct heroes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:305773
    • 提供者:tianxingjianma
  1. music_buzze

    0下载:
  2. 乐曲发生器,可以用FPGA通过BUZZER生成音乐-music buzzer
  3. 所属分类:Document

    • 发布日期:2017-04-26
    • 文件大小:54991
    • 提供者:feng
  1. music

    0下载:
  2. 基于vhdl的音乐发生器源程序,已在实验箱上实现-music vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:226859
    • 提供者:浅漓
  1. qsy1

    0下载:
  2. 音乐曲目循环演奏器 能够循环演奏编写的音乐乐谱-Music repertoire cycle is capable of playing music circle recital music preparation
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:4254
    • 提供者:qiushiyuan
  1. songer2

    0下载:
  2. 用VHDL语言实现多首音乐播放,并可切换,内含两首音乐。-VHDL language used to achieve more than the first music player, and switch, includes two music.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:73587
    • 提供者:figogo
  1. Exp3-Music

    0下载:
  2. 本次实验,是在 SOPC开发平台上实现一个音频信号发生器,将音箱接到开发平台上的 音频接口,由六个按键控制音箱发出 do、re、mi、fa、so、la 等音调,同时,编写几段音乐, 通过键盘选择,利用开发平台来播放几段音乐。 -The experiment is SOPC development platform to achieve an audio signal generator, the speaker received a development platform for th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4491582
    • 提供者:yangcheng
  1. music

    0下载:
  2. 是完成一小段音乐程序的开发,然后再用扬声器进行试听。下面主要介绍一下完成本实验的几个主要部分的工作原理。-Is the completion of the development of a short musical program, and then re-use loudspeakers to Lyrics. Following the completion of this experiment focuses on what part of several major works.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:346761
    • 提供者:huangdeyi
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. eda--sheji

    0下载:
  2. 这是我自己编写的fpga程序,使用vhdl语言,开发板能够播放《迟来的爱》这首音乐,大家可以借鉴使用-This is my own fpga written procedures, using vhdl language, the development board to play " late love" this music, we can learn to use ......
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:259097
    • 提供者:dws
  1. songer

    0下载:
  2. 著名歌曲《十送红军》音乐发生器在FPGA上实现-The famous song " Shisonghongjun" music generator in the FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4539
    • 提供者:longjiezhong
  1. The-VHDL-music-playing

    0下载:
  2. VHDL音乐演奏与编码-毕业论文,网上下载的,收藏了,贡献给有需要的人-The VHDL music playing and encoding- Thesis, online download, and contribution to the people in need
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1638310
    • 提供者:童智勇
« 12 3 4 5 6 »
搜珍网 www.dssz.com