CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl bcd counter

搜索资源列表

  1. count16

    0下载:
  2. count16.vhd 16位BCD计数器VHDL源程序-count16.vhd 16 BCD counter VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:841
    • 提供者:杨奎元
  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. Counter60min

    0下载:
  2. VHDL语言编写的一个六十进制计数器(用于分钟),一个脉冲输入引脚,一个复位引脚,8个BCD码输出引脚,一个进位输出引脚。与我的其它8个模块配套构成一个数字钟。-A 60 binary counter(for minute) programmed with VHDL language.A pulse input, a reset input, eight BCD code output BCD code, a carry bit output. It is one of my total 9 m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:207690
    • 提供者:chzhsen
  1. part2

    0下载:
  2. Implement a 3-digit BCD counter. Display the contents of the counter on the 7-segment displays, HEX2− 0. Derive a control signal, from the 50-MHz clock signal provided on the DE2 board, to increment the contents of the counter at one-se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:553387
    • 提供者:echo
  1. Trafficlight

    0下载:
  2. 系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间; 因为各状态持续时间不一致,所以上述计数器应置入不同的预置数; 倒计时计数值输出至二个数码管显示; 程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号。-System to set up a two BCD code c
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1142
    • 提供者:kid
  1. freqm

    0下载:
  2. a simple implementation of a frequency meter with the BCD-counter and the 7-segment LED display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13084
    • 提供者:wangfeng
  1. bcd

    0下载:
  2. EDA 十进制计数器、BCD VHDL源代码-EDA decimal counter VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1219
    • 提供者:啊毛
  1. lab8

    0下载:
  2. 此實驗中我們將量 測人的反應時間,由於人的反應時間遠比起內建CLOCK的週 期長的多,因此要對CLOCK做除頻的動作方可適用,並方便 於計數 器的計算與 七段顯示器的呈現。實驗內容為,當看到LED亮 起時,立 即做出反應將計數 器停 下,並顯示出當時計數 器之時間。計數 器以兩 位數 BCD counter來 實現並將結果 顯示於七段顯示器上。-Vo
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:141811
    • 提供者:徐小華
  1. zhuangtaijijiaotongdeng

    0下载:
  2. 利用VHDL设计的另外一种方法的交通灯程序。在controller模块中设置了2个进程,其中一个用来控制内置计数器的增加,一个用来控制交通灯的显示。从controller模块中出来的时间是2位的BCD码,要进行数码管显示就需要得到2个一位的BCD码,因此就需要利用separate模块来实现。separate模块的思想也比较简单,就是利用比较然后得到相应的十位数和个位数。-In the controller module set up two processes, one of which is
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:13439
    • 提供者:
  1. xq_Test7

    0下载:
  2. VHDL语言编写一个BCD计数器并在七段显示数码管上显示的程序,实现了动态扫描,而且很好用-VHDL language a BCD counter and in the seven-segment display digital tube display process to achieve a dynamic scanning, and it just works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:144040
    • 提供者:夏强
  1. counter_bcd7

    0下载:
  2. bcd十进制计数器,用于频率计设计的计数器单元,输出zeros用于选通量程使用!-bcd decimal counter, the counter for frequency counter design unit, the output zeros for the use of strobe range!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:525
    • 提供者:jim
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. BCD_CNT

    0下载:
  2. vhdl十进制计数器。完成计数长度为0-999的BCD码加法计数器,输出数据为三个宽度为4位的数据。-decimal counter vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:33081
    • 提供者:成思远
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. Counter24hour

    0下载:
  2. 用VHDL语言编写的一个二十四进制计数器,一个脉冲输入引脚,一个复位输入端,四个BCD码输出端。与我另外的八个模块是配配套的。-A 24 binary counter programmed with VHDL language.A pulse input, a reset input, four output BCD code. It is one of my total 9 modules that are used to design a digital clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:169876
    • 提供者:chzhsen
  1. db

    0下载:
  2. fulladder made by me I hope it works, the only thing I need from your database is the V74160.rar, the vhdl code for the 4 bit bcd counter with asynchronious reset.. please help me thank you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:529198
    • 提供者:sarro
  1. Count-display-circuit-design(VHDL)

    0下载:
  2. 用VHDL语言设计计数显示电路。设计输出为3位BCD码的计数显示电路。由三个模块构成:十进制计数器(BCD_CNT)、分时总线切换电路(SCAN)和七段显示译码器电路(DEC_LED)-VHDL language to count the display circuit. The design output for display circuit 3 BCD count. Consists of three modules: the decimal counter (BCD_CNT), time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:46405
    • 提供者:hhsyla
  1. VHDL

    0下载:
  2. VHDL初级编程实例:动态扫描显示程序、分频器设计程序、8位移位寄存器、BCD计数器设计(任意进制)等等。-VHDL the primary programming examples: dynamic scanning display program, the divider design process, the 8-bit shift register, BCD counter design (any hex), and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:11445
    • 提供者:罗梵
  1. BCD counter( state machine)

    0下载:
  2. a vhdl source code for BCD
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1050624
    • 提供者:maleki
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
« 12 »
搜珍网 www.dssz.com