CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl code for error detection

搜索资源列表

  1. HammingDecoder

    0下载:
  2. -- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee U
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:3754
    • 提供者:djs
  1. hamming_decoder

    0下载:
  2. VHDL编写的Hamming码的程序,可以正确解码--- This Hamming decoder accepts an 8-bit Hamming code and performs single error correction and double error detection.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-02
    • 文件大小:826
    • 提供者:郑全
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. CRCDecoding

    0下载:
  2. CRC检错程序。只能检错不能纠错。(40,32)的分组码检错,反馈函数:x8+x7+x4+x3+x+1-CRC error detection process. Not only error detection correction. (40,32) and block code error detection, feedback function: x8+ x7+ x4+ x3+ x+1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:146967
    • 提供者:李雪茹
  1. bch-coding

    0下载:
  2. In this project, we are implementing the error detection and correction using BCH code (Bose Chaudhuri Hocquenghem). Using VHDL and targeted on FPGA for synthesis of the code. The encoder and decoder combine called as a codec.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-10
    • 文件大小:6164480
    • 提供者:venkata vijay
搜珍网 www.dssz.com