CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - wallace

搜索资源列表

  1. booth_mul

    2下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols / unsigned multiplication of the number of binary multipliers. The multiplier used to impr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19758
    • 提供者:李鹏
  1. xapp371

    0下载:
  2. xilinx里的乘法器ip核程序,booth乘法 wallace tree算法 4-2压缩编码 超前进位加法
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:87798
    • 提供者:王凯
  1. multiply2.rar

    1下载:
  2. 18bit的booth乘法器 采用booth2编码 Wallace压缩树 以及超前进位结合进位选择的36bit高性能加法器,18bit multipliers used booth2 the booth encoding and Wallace tree compression-ahead into the location choice of high-performance 36bit adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5415
    • 提供者:alex
  1. wallace.ps

    0下载:
  2. WALLACE是JPEG的定义者,描述了JPEG压缩方式的定义。包括了BASELINE PROGRESSIVE等。-WALLACE is the definition of JPEG, the descr iption of the definition of JPEG compression. Includes such BASELINE PROGRESSIVE.
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:102650
    • 提供者:lzy
  1. Wallace

    0下载:
  2. 一个关于Wallace树乘法器的论文,当中展示了一种改进后的wallace树乘法器方案,相比原来占用晶体管更少,效率更高-Wallace tree multiplier on the papers, which show an improved wallace tree multiplier after the program, compared to the original transistors occupy less efficient
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:106445
    • 提供者:szx
  1. 6bitwallacereduction

    0下载:
  2. 6 bit wallace reduction in verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2276
    • 提供者:stabs
  1. wallace

    0下载:
  2. The JPEG Still Picture Compression Standard
  3. 所属分类:Development Research

    • 发布日期:2017-04-17
    • 文件大小:64192
    • 提供者:pcbcap
  1. Electronics_Design_Checklist

    1下载:
  2. Electronics Design Checklist C) 2003 Hank Wallace This a checklist for electronics designers. The idea is for engineers and technicians to share experiences and create a detailed checklist, which the individual designer can pare down to meet hi
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:25960
    • 提供者:guyanzu
  1. WallaceTreeMultiplier

    0下载:
  2. Wallace Tree Multiplier in VHDL for 4bit operation fully using structural language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2354662
    • 提供者:suresh
  1. FIR

    0下载:
  2. 用verilog设计的FIR滤波器。滤波器需要很快的处理速度,所以采用了wallace树算法,超前进位加法器-The FIR filter is designed with verilog. To improve the process speed, wallace tree and fast-carrylook-aheadarithmetic were used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:324420
    • 提供者:simeon chan
  1. lunwen

    0下载:
  2. 潘明海 刘英哲 于维双 (论文) 中文摘要: 本文讨论了一种可在FPGA上实现的FFT结构。该结构采用基于流水线结构和快速并行乘法器的蝶形处理器。乘法器采用改进的Booth算法,简化了部分积符号扩展,使用Wallace树结构和4-2压缩器对部分积归约。以8点复点FFT为实例设计相应的控制电路。使用VHDL语言完成设计,并综合到FPGA中。从综合的结果看该结构可在XC4025E-2上以52MHz的时钟高速运行。在此基础上易于扩展为大点数FFT运算结构。 -Pan Mingha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:128401
    • 提供者:culun
  1. wallace

    1下载:
  2. This a code for wallace tree multiplier-This is a code for wallace tree multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-20
    • 文件大小:3725
    • 提供者:vlsi
  1. wallacetreemultiplier

    0下载:
  2. wallace tree multiplier n bit c program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:8347
    • 提供者:sneha
  1. wallace_tree_multiplier_part1

    0下载:
  2. wallace tree multiplier
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:181128
    • 提供者:sneha
  1. WallaceTreeImplementationInVHDL

    0下载:
  2. Wallace Tree Implementation in VHDL WT is one of the fastest way to implement multiplication of numbers in hardware design. (Optimized version) Tested in Altera 3.5u board by MonteCristo (H.U.T)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:6003
    • 提供者:montecristo
  1. wallace

    2下载:
  2. wallace tree 用于16位乘法器的verilog 的 wallace tree代码 -wallace tree verilog file. 16bit wallace tree adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1767
    • 提供者:Zachary
  1. wallace-tree-multiplier

    1下载:
  2. 关于fpga乘法器的一种算法,一种wallace树压缩器硬件结构的实现-An algorithm on fpga multiplier, a wallace tree compression hardware structure
  3. 所属分类:Document

    • 发布日期:2017-04-09
    • 文件大小:1721233
    • 提供者:朴圣龙
  1. Wallace-chengfaqi

    0下载:
  2. 对wallace tree的学的代码 大家对乘法器有的认识 对学习帮助很大-Wallace tree learning a 8 bit multiplier is very good code
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:106445
    • 提供者:
  1. wallace-tree-mult123

    0下载:
  2. when we want a fast method to multiply two numbers wallace tree method comes first, this code provide the designer new strategies to implement wallace tree code
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:3737
    • 提供者:saber
  1. wallace and truncated 4 8 12

    0下载:
  2. wallace multiplier 4, 8,12 bits
  3. 所属分类:其他

    • 发布日期:2018-01-03
    • 文件大小:10240
    • 提供者:bangaram
« 12 3 »
搜珍网 www.dssz.com