CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 下变频

搜索资源列表

  1. HSP50214.rar

    0下载:
  2. HSP50214可编程下变频器,中文数据手册(个人翻译),附带英文原版,HSP50214 Programmable Downconverter, Chinese data sheet (personal translation), with the original English edition
  3. 所属分类:File Formats

    • 发布日期:2017-05-11
    • 文件大小:3020975
    • 提供者:陈云峰
  1. CIC_deci4.rar

    0下载:
  2. cic抽取滤波器ip核,用于射频采样数字下变频模块的核心数字信号处理部分.此ip核已经过ise10.2验证,CIC decimation by 4 filter,used in Direct RF sampling of GPS signal. the core dsp block in a frondend design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:31545
    • 提供者:mimidabuda
  1. digitaldown-conversion.rar

    0下载:
  2. FPGA实现数字下变频,仅供大家参考,希望有用。,Use FPGAto achieve digital down-convertion.For your reference, I hope it can be useful for you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:167877
    • 提供者:高青松
  1. ddc.rar

    2下载:
  2. 数字下变频器的matlab实现,一定的设计指标,可以用来知道vhdl程序设计,Digital Down Converter for matlab realized, certain design specifications that can be used to know VHDL Programming
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:2123
    • 提供者:杨斌
  1. DDC.rar

    4下载:
  2. verilog语言实现的数字下变频设计。 在ALTERA的QUARTUS ii下实现。实用,好用。,Verilog language implementation of the digital down-conversion design. ALTERA at the implementation of QUARTUS ii. Practical, easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-20
    • 文件大小:43795
    • 提供者:咚咚
  1. DDC_prj1

    2下载:
  2. 公司最近项目做的一个DDC(数字下变频)simulink建模模型 真情奉献给大家-this is a project about digital down-converter,it is bulit with Simulink.
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:95781
    • 提供者:ganzhhua
  1. ddc

    2下载:
  2. DDC仿真模型,利用systemgenerator实现数字下变频-DDC simulation model, the use of digital down-conversion systemgenerator
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:16401
    • 提供者:曾重阳
  1. 20100407

    0下载:
  2. 用MATLAB仿真的数字下变频程序,变频,滤波未用matlab函数,而是用代码完成,便于移到DSP或FPGA上。供参考。-Using MATLAB simulation of digital down conversion process, conversion, filtering is not used matlab functions, but with code completion, easier to move on a DSP or FPGA. For reference.
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:10768
    • 提供者:sss
  1. ddc_30m

    4下载:
  2. 中频70M,30M带宽LFM信号,采样率为102.4M,数字下变频后,还进行了三倍抽取,最后还得到I,Q两路信号 -IF 70M, 30M bandwidth LFM signal, the sampling rate of 102.4M, digital down conversion, the samples were carried out three times, the last also I, Q two-way signal
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:1626
    • 提供者:xiebin
  1. FPGAfrequencytransformer

    0下载:
  2. 基于FPGA的数字下变频器设计,分析数字下变频结构及其实现方法-FPGA-based digital down-converter design, analysis, digital down-conversion architecture and implementation method of
  3. 所属分类:Project Manage

    • 发布日期:2017-04-10
    • 文件大小:1868793
    • 提供者:赵时
  1. cordic

    0下载:
  2. 用于无线通信中的数字下变频,主要关注NCO设计还有使用cordic算法实现坐标变换和解调!-For wireless communication of digital down conversion, the main concern there NCO design algorithm using cordic coordinate transformation and demodulation!
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:2470157
    • 提供者:peter
  1. 在FPGA中用costas环实现载波同步和数字下变频

    2下载:
  2. 在FPGA中用costas环实现载波同步和数字下变频,对于costas环的学习很有帮助
  3. 所属分类:其它文档

    • 发布日期:2012-10-31
    • 文件大小:509618
    • 提供者:dachao666
  1. ddc_FPGA

    3下载:
  2. 简要介绍了数字下变频的设计,通过采用xilinx的ise软件,ipcore的调用实现-Briefly introduced the design of digital down conversion, through the use of ise the xilinx software, ipcore call the realization of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-16
    • 文件大小:2577932
    • 提供者:望天
  1. downloadpaper

    0下载:
  2. 是基于FPGA的数字下变频的设计与实现,挺不错的一片文章-FPGA-based digital down conversion of the design and realization of a very good article
  3. 所属分类:Document

    • 发布日期:2017-03-31
    • 文件大小:194039
    • 提供者:chen
  1. up_FreCon

    1下载:
  2. fm中频调制中实现数字正交上变频和正交下变频。-fm frequency modulation in digital quadrature up-conversion and down-conversion quadrature.
  3. 所属分类:assembly language

    • 发布日期:2014-07-20
    • 文件大小:1113
    • 提供者:季艳中
  1. project_UHF_ddc

    1下载:
  2. vhdl语言写的数字下变频的实现,整个工程文件,xlinx ise用的-VHDL language written in the realization of digital down conversion, the whole project file, xlinx ise used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1869233
    • 提供者:杨斌
  1. lpl

    0下载:
  2. 用于数字下变频器的 FPGA 实现 -Digital Down Converter for the FPGA to achieve
  3. 所属分类:Compiler program

    • 发布日期:2017-05-11
    • 文件大小:2790624
    • 提供者:camilla
  1. DDC_CIC

    0下载:
  2. 用CIC 和 FIR Filters设计的数字下变频器,DSP Builder6.1版工程文件-Using CIC and FIR Filters Design of Digital Down Converter, DSP Builder6.1 version of project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:50328
    • 提供者:
  1. DVB_T-ofdm-reception

    1下载:
  2. 自己编写的DVB-T 2K模式下接收机,包括解调模块,模拟下变频模块,数字下变频模块等 ,可直接运行.-I have written DVB-T 2K mode receiver, including the demodulator module, analog down-conversion modules, such as digital down conversion module can be directly run.
  3. 所属分类:Streaming_Mpeg4

    • 发布日期:2016-04-01
    • 文件大小:1074
    • 提供者:刘申
  1. matlab仿真下变频源程序

    0下载:
  2. matlab 仿真下变频源程序 f0=20e6;%模拟信号中频 f1=10e6;%数字本振频率 fs=40e6;%采样频率(digital down convertion)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-17
    • 文件大小:2048
    • 提供者:philip3000
« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com