CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乐曲

搜索资源列表

  1. music

    0下载:
  2. 用VHDL 语言设计实现一个10 秒倒计时电路,要求使用8×8 点阵显示计时结果。能在计时到0后开始播放乐曲,同时乐曲可以自由转换。-VHDL Language Design and Implementation with a 10 seconds countdown circuits require the use of 8 × 8 dot matrix display time results. To 0 in time to start playing after the music, a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:922737
    • 提供者:rainbowuva
  1. yinyueyanzou

    0下载:
  2. 这是一个音乐程序,按大写字母“A”,唱乐曲“玛丽有只小羔羊”; 按大写字母“B”,唱乐曲“太湖船” 按大写字母“C”,唱乐曲“祝福歌” 按"X"鍵,退出-This is a music program, according to capital letters " A" , singing songs, " Mary had a lamb" by the capital letters " B" , sing the music &
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-02
    • 文件大小:2124
    • 提供者:刘丹
  1. birthday

    0下载:
  2. 本程序实现蜂鸣器演奏歌曲功能,乐曲名称生日快乐-it sings the song of happy birthday
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:9398
    • 提供者:nwj
  1. Vocal-music-and-animation-process

    0下载:
  2. 乐曲发声及动画程序,很不错的一个东西,大家可以下载下来看看,学习学习-Vocal music and animation program, it is a good thing, you can download them to see, learning to learn
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:37776
    • 提供者:胡尚林
  1. ThreeMusicListen

    0下载:
  2. 这是一个音乐程序,按大写字母“A”,唱乐曲“玛丽有只小羔羊”; 按大写字母“B”,唱乐曲“太湖船” 按大写字母“C”,唱乐曲“祝福歌” 按"X"鍵,退出-This is a music program, according to capital letters " A" , singing songs, " Mary had a lamb" by the capital letters " B" , sing the music &
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2125
    • 提供者:soliang
  1. shuziyinyuehe124

    0下载:
  2. 数字音乐盒【课程设计】1.利用I/O口产生一定频率的方波,驱动蜂鸣器,发出不同的音调,从而演奏乐曲(最少三首乐曲,每首不少于30秒) -Digital Music Box】 【Course Design 1. The use of I/O I have a certain frequency square wave to drive the buzzer, the issue of different tones, which play music (at least 3 pieces, e
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:446590
    • 提供者:jdz
  1. music

    0下载:
  2. 微机原理课程设计:乐曲演奏程序设计与实现(详细报告)-Computer Principles of curriculum design: music concert program design and implementation (detailed report)
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:21370
    • 提供者:nm
  1. music

    0下载:
  2. 是用VHDL语言编写的乐曲演奏程序,详细的写了各个模块的子程序-VHDL language is the music playing program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:6425
    • 提供者:周琳琳
  1. digitalpiano

    0下载:
  2. 利用汇编语言设计一个模拟钢琴演奏程序。在显示器上显示出钢琴的键盘,以计算机键盘的相应键模拟钢琴键盘进行乐曲的演奏。在PC机键盘上按键,屏幕上对应的钢琴键出现跳动,并发出相应音符的声音-The use of assembly language program to design a simulation of piano playing. On the display shows piano keyboard, computer keyboard keys corresponding analog
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:3220
    • 提供者:周凯
  1. yuequbofang

    0下载:
  2. 在EDA开发中编程达到乐曲播放功能,使用层次化设计方法,实现乐曲发生器的设计。-In the EDA development program to music playback, the use of hierarchical design methodology to achieve music generator design.
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:35845
    • 提供者:伊真
  1. bayinhe

    1下载:
  2. 基于STC89C52RC单片机八音盒,主要功能介绍,实现高中低音三阶乐曲弹奏,同时带有指示摁下哪个音符的功能,实现乐曲的自动演奏功能能。 3, 弹奏及演奏乐谱均具有多种可选音色。 -Based on STC89C52RC SCM music box, the main function of introduction, to achieve high third-order music playing bass at the same time with the press under
  3. 所属分类:Project Design

    • 发布日期:2015-05-16
    • 文件大小:1545691
    • 提供者:楚辰
  1. yinyuechenxu

    0下载:
  2. 用c8051f330单片机加上蜂鸣器 放出乐曲-Microcontroller with c8051f330 release music with buzzer
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:2966
    • 提供者:xiaoyun
  1. Archive

    0下载:
  2. 利用定时器产生乐曲
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-05-08
    • 文件大小:1974377
    • 提供者:bambooran
  1. songer

    0下载:
  2. 在FPGA芯片上实现乐曲发声的完整程序设计,对初学者很有用-In the FPGA chip to achieve a complete vocal music programming, is useful for beginners
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:1275
    • 提供者:chenjianfeng
  1. MUSIC

    0下载:
  2. 16*16点阵循环显示8个汉字,有背景MIDI音乐输出,有一个键盘控制音乐的选择,还附带乐曲弹奏功能。有比较详细的注解。-16* 16 dot matrix display cycle of 8 Chinese characters, with background MIDI music output, there is a keyboard to control the choice of music, but also with music playing capabilities. Mor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1504576
    • 提供者:叶华
  1. LANHUACAI

    0下载:
  2. 用汇编语言编写的兰花草音乐曲,实验时用51单片机一片,蜂鸣器一个,LM386一片,是学习51单片机典型实例,特共享给大家.-Written in assembly language orchids music music, experiments with a microcomputer 51, a buzzer, LM386 1, 51 MCU typical examples of learning, special to share to others.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:601
    • 提供者:tiansqin
  1. dianziqin

    0下载:
  2. 1.电子琴功能 用实验板上K1~K7作为电子琴的7个按键,每个按键对应一个音符要求当按下其中一个按键,就通过P2.5口输出对应频率信号使蜂鸣器发出特定音符声音。 2.乐曲演奏 若按下K8键,完成自动弹奏“送别”歌曲。 -1. Organ function of the experimental board K1 ~ K7 as the organ of the seven buttons, each button corresponds to a note of whi
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1413
    • 提供者:陈伟玮
  1. songxiugai

    0下载:
  2. 乐曲演奏电路,能演奏歌曲,同时在数码管上显示演奏的乐曲音符的数字。-Music concert circuit, can play songs at the same time in the digital tube displays the number of notes played music.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:409400
    • 提供者:方周
  1. play_music_without_CPU_or_MCU

    0下载:
  2. 该程序以纯硬件实现乐曲演奏而非CPU或者MCU。其中间省略的音乐的中间的音符,读者可以自己修改添加补充-A pure hardware implementation of the program to play music instead of CPU, or MCU. In which the music is omitted in the middle between the notes, readers can add their own amendments to add
  3. 所属分类:source in ebook

    • 发布日期:2017-04-08
    • 文件大小:2962
    • 提供者:马思土
  1. Debug

    0下载:
  2. 汇编语言之乐曲程序的设计与实现debug-Assembly language of the music program design and implementation of debug
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:12223
    • 提供者:刘丹
« 1 2 3 4 5 6 78 9 10 11 12 ... 17 »
搜珍网 www.dssz.com