CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 八数码

搜索资源列表

  1. eight

    0下载:
  2. 八位数码管显示数字,学号,可以滚动显示要显示的英文数字等-Eight digital tube display digital, student number, can scroll the display to display digital English etc.
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:51180
    • 提供者:季栋
  1. siluqiangdaqi_FPGA_Quartus-II

    0下载:
  2. 实现四路抢答,电路具有第一抢答信号的鉴别和锁存功能,在主持人将复位按钮按下后开始抢答,并用EDA实训仪上的八段数码管显示抢答者的序号,同时扬声器发出“嘟嘟”的响声,并维持3秒钟,此时电路自锁,不再接受其他选手的抢答信号。 一个计分电路,每组在开始时设置为100分,抢答后由主持人计分,答对一次加10分,答错一次减10分。 设计一个犯规电路,对提前抢答和超时抢答者鸣喇叭示警,并显示犯规的组别序号。-Achieve four answer. The circuit have a first
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1028534
    • 提供者:俞少迪
  1. Ex03_POP28335_SPI_8SEG

    2下载:
  2. DSP28335 利用SPI模块控制八段数码管的源程序包,包括各个模块及主函数的书写。-DSP28335 use SPI module control eight digital source packages, including the writing of each module and the main function.
  3. 所属分类:DSP program

    • 发布日期:2015-12-23
    • 文件大小:279552
    • 提供者:lvysam
  1. buttoncontroler

    0下载:
  2. 利用51单片机控制8位led共阴数码管,八个按键组成矩阵键盘每个键分别显示一个数字-Each key use SCM control 8 led common cathode LED, composed of eight buttons show a digital matrix keyboard
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:639
    • 提供者:lee
  1. Eight-digital

    0下载:
  2. 八位数码管循环显示0123456789ABCDEF-Eight digital tube cycle 0123456789ABCDEF
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:773
    • 提供者:岳学磊
  1. caculater

    0下载:
  2. 基于八位数码管的加法计算器 , 带连加、自加和清零功能-add caculater based on seg_led , with continual/self-add/clear funtions
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:44181
    • 提供者:gao
  1. interrupt_and_seg_jiajianyi

    0下载:
  2. 基于c51单片机的八个中断与门控制数码管加减一源代码,(在学校写的)-Eight interrupt and gate control based on c51 digital tube and subtract a source code, written (in school)
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-30
    • 文件大小:60688
    • 提供者:周儒年
  1. 汇编_电子表

    1下载:
  2. 基于8253、8259芯片的电子表,语言为汇编。实现为在八位数码馆上显示时、分、秒,逢60进一
  3. 所属分类:文档资料

    • 发布日期:2015-12-14
    • 文件大小:44032
    • 提供者:2713388464
  1. adafruit-led-backpack

    2下载:
  2. HT16K33 驱动四位八段数码管例程.可以连续显示0 1 2 3 4 5 6 7 8 9 字符。-HT16K33 drive four eight digital control routines can be continuously displayed 0,123,456,789 characters.
  3. 所属分类:Other systems

    • 发布日期:2017-05-17
    • 文件大小:4510406
    • 提供者:hidden
  1. pinlvji

    1下载:
  2. 频率计 测量范围1-100MHz 测量阈值0.1s 计数部分为FPGA/CPLD 语言VHDL 显示部分为51 单片机加八位数码管 语言C-Frequency meter Measuring range 1-100 MHZ Measure threshold is 0.1 s Count part of FPGA/CPLD Language VHDL Display part of 51 MCU with eight digita
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:553759
    • 提供者:冉凯
  1. N3

    0下载:
  2. 程序功能:在八位数码管上显示一个绕动的光圈,光圈的速度自由变化(快 >慢 >快) 拨码开关设置:将SMG位拨至ON,其余拨至OFF 测试说明:观察数码管显示-Program features: eight digital tube display on an orbiting iris, iris free speed change (fast-> slow-> fast) DIP switch settings: SMG bit DIP to ON, the
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:119035
    • 提供者:ryan
  1. 89c52rc-DS1302-8seg

    0下载:
  2. 基于51单片机stc89c52rc实现八位数码管显示时间,日期,可闪烁调整-Based on 51 MCU stc89c52rc to achieve eight digital tube display time, date, can be adjusted
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-28
    • 文件大小:69516
    • 提供者:葛攀
  1. LED_seg7

    0下载:
  2. 基于DE2-70开发板的八个按键7段数码管的检测程序,可控制数码管刷新显示0-f等十六个字符-Based on the DE2-70 development board eight buttons seven segment digital tube detection procedures, can be controlled digital refresh pipe 0 f 16 characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:371120
    • 提供者:吉泽
  1. STC12C5A60S2_LED_Display

    0下载:
  2. 数码管延时动态扫描函数 1、程序说明: 该例程演示了接在单片机P0端口和P2端口上的八位数码管 动态扫描实验,通过延时的方式实现。 2、实验操作 将拨码开关S10的SMG端拨到ON端,表示该端接通。 同时把该拨码开关的其他端置为断开状态,即不拨到ON端。 否则将影响到实验效果。 该程序下载到开发板运行后可观察到八位数码管显示“12345678”。-Digital tube delay dynamic scanning function 1, pr
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:39101
    • 提供者:yushizhen
  1. XD-38

    0下载:
  2. XD-38八位串行数码管模块测试程序,DIO接P3.3,SCK接P3.2,RCK接P3.4,上电后数码管会显示时钟。-XD38 eight serial digital control module test procedures, DIO then P3.3, SCK received P3.2, RCK then P3.4, digital clock will be displayed after power.
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-01
    • 文件大小:41074
    • 提供者:郭新伟
  1. segsweep

    0下载:
  2. VHDL 驱动数码管 使用quartues 2编程使用CPLD驱动八段数码管-VHDL seg
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:198643
    • 提供者:jack
  1. miaobiao

    0下载:
  2. 自己做的小秒表程序。用八位数码管显示的。亲测可用。-clock
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:18761
    • 提供者:吕云鹏
  1. 4-code

    0下载:
  2. 设计一个十进制计数器,具有显示位置随计数时钟在八个数码管中左右滚动的功能。-Design of a decimal counter, a display position with the count clock in at around eight digital scrolling function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-30
    • 文件大小:10913
    • 提供者:张雅
  1. DS18B20wenduji

    0下载:
  2. 单片机DS18b20温度计 在51单片机中利用DS18b20做和八段共阴数码管做一个温度计-idon t know
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:48030
    • 提供者:王少初
  1. 74HC595

    0下载:
  2. 51单片机编程 74HC595驱动两个四位八段数码管-51 microcontroller programming 74HC595 driving two four eight digital tube
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:270033
    • 提供者:su
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com