CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 出租车计费

搜索资源列表

  1. tax1

    1下载:
  2. 1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元;-one, design a taxi for automatic billing, with mileage billing, the waiting time billing, and three starts, with four Digital Display total amount of the maximum 99. 99;
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:88294
    • 提供者:万金油
  1. 20068211814414818

    1下载:
  2. 设计十一 出租车自动计费器 1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元 -11 taxi design for an automatic billing, automatic design of a taxi meter, with traffic milestone billing, waiting time billing, and From three parts, with four LED show
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:162688
    • 提供者:cxh
  1. Taxi

    0下载:
  2. 接受里程传感器的脉冲输入(在本方案中使用PWM模拟替代传感器脉冲),并对脉冲进行计量,继而转换成里程; 􀂋 采用现行出租车计价系统的计算方法,对行驶里程进行计费; 􀂋 提供友好的用户界面,并具有语音提示功 能。 基于凌阳单片机!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:560170
    • 提供者:冯旭升
  1. Taxi-automatic

    0下载:
  2. 本项目实现一个出租车自动计费器。计费包括起步价、行车里程计费、等待时间计费三部分,用四位数码管显示总金额,最大值为999.9元。起步价为5.0元,3公里之内按起步价计费,超过3公里,每公里增加1元,等待时间单价为每1分钟0.1元。用两位数码管显示总里程,最大值为99公里,用两位数码管显示等待时间,最大值为99分钟。-The project achieved a taxi meter automatically. Billing, including starting, mileage charg
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:891386
    • 提供者:周舟
  1. mcu

    0下载:
  2. 本设计的是一个基于单片机AT89S52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性-The design is based on a monolithic integrated circuit AT89S52 taxi billing design, with reset circuit, clocking circuit, key
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:270633
    • 提供者:郭璐珉
  1. li123

    0下载:
  2. 本程序是为出租车设计的,主要功能是出租车自动计费-This procedure is designed for the taxi, taxis are the main functions of automatic billing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4443
    • 提供者:wangya
  1. taxi

    0下载:
  2. 费用的计算是按行驶的里程收费,设出租车的起步价为5.00元,当里程小于3km时,按起步价计算费用;当里程大于3km时,每公里按1.3元计费。等待累计时间超过2min时,按每分钟1.5元计费-taxi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:932
    • 提供者:wen
  1. taxi

    0下载:
  2. 出租车自动计费系统,功能完善,方便快捷,十分好用-taxi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2825
    • 提供者:gs
  1. Taxi-automatic-billing

    1下载:
  2. 出租车自动计费系统的verilog程序代码-Taxi automated billing system verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:900127
    • 提供者:刘新
  1. taxi

    0下载:
  2. 出租车系统的VHDL实现,包含了,计费,计时,超时自动收费,调整速度等等功能-Taxi system, VHDL implementation, contains, billing, time, overtime pay automatically adjust the speed, and so functional ... ...
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:524919
    • 提供者:张飞
  1. taxi

    0下载:
  2. 费用的计算是按行驶里程收费,设出租车的起步价是5.00元,当里程小于3km里时,按起步价收费;当里程大于3km时每公里按1.3元计费。等待累计时间超过2min,按每分钟1.5元计费。所以总费用按下式计算-taxi
  3. 所属分类:Document

    • 发布日期:2017-04-16
    • 文件大小:474217
    • 提供者:李建龙
  1. taxi

    1下载:
  2. 出租车自动计费器,使用verilog hdl语言编写,计费包括起步费、里程费、等待费,并利用八位数码管显示。-Automatic meter taxi, using verilog hdl language, including start charging fees, mileage fees, waiting costs, and use eight digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7947507
    • 提供者:金若梅
  1. txit

    0下载:
  2. 基于单片机的出租车多功能计费系统设计,实现计费功能,能够实现眼见模式-Microcontroller-based multi-functional billing system of taxi design, implementation, billing functions, to achieve seeing patterns
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:4529
    • 提供者:linyifeng
  1. zhuaizhuaier

    0下载:
  2. 注:设计中需要使用到的外部输入信号自己定义,如时钟频率,复位有效电平,数码管类型等,但必须具体说明。 1:出租车计价器(50分) 实现以下基本功能: (1)3公里内只起步价10元,3~8公里每公里2.0 元,8公里以上每公里3.0元; (10分) (2)车速小于2公里/小时为等待时间,总计等待时间累计5分钟以下不计费,超过5分钟部分每分钟1.0元,不足1分钟部分按照1分钟计; (13分) -Note: The design need to use their own def
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:584205
    • 提供者:zhuaizhuaier
  1. taxi

    0下载:
  2. (1)用3位数码管显示总的金额,最大值为99.9元。 (2)起步价为9元,里程为3公里。 (3)车行10m,里程传感器发一个脉冲。单价为2.4元/公里。 (4)等待单价是1.00元/10min;不足10min不收费(时间累计)。 (5)计费器按1角为单位累加,不足1角不加。 (6)自动计费器设置两个按钮。出租车载客时,驾驶员将空车指示牌放下时, 压住一个按钮,自动计费器开始工作;当乘客到达目的地时,驾驶员按下另一个 按钮时,启动打印机自动打印票据(计费显示)。车辆启动和停
  3. 所属分类:transportation applications

    • 发布日期:2017-05-23
    • 文件大小:7281162
    • 提供者:陈十三
  1. taxi-money

    1下载:
  2. 使用verilog编写,实现出租车计价器得日常计费功能-Prepared using verilog achieve Taximeter daily accounting functions have
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2993155
    • 提供者:陈凡
  1. taxi-meter

    0下载:
  2. 用单片机,模拟出租车的计价器,可实现基本的计费功能-With a microcontroller, analog taxi meter, the basic billing functions can be realized
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:45171
    • 提供者:Qingchan
  1. taxifeecounter

    0下载:
  2. 出租车自动计费器根据客户的实际情况尔自动显示用车费用的数字仪表,根据用车起价,行车里程计费及候车时间三项求出客户用车的总费用,通过数码自动显示。-Taxi automatic meter according to the actual costs of Seoul Auto Show car digital instrument, according to the car starts, mileage and waiting time three billing customers find
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:111195
    • 提供者:wangwenhao
  1. taximeter

    0下载:
  2. 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元; 行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:88018
    • 提供者:占斌
  1. Taximeter-VHDL

    0下载:
  2. 基于FPGA的出租车计价器,包括计程、计时、计费模块-FPGA-taxi meter, including the meter, timing, billing module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:12073
    • 提供者:徐清源
« 1 2 ... 4 5 6 7 8 910 »
搜珍网 www.dssz.com