CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 并串-串并转换

搜索资源列表

  1. 串并转换实验程序

    0下载:
  2. 利用8031串行口,和并行输出串行移位寄存器74LS164,扩展一位数码显示在数码显示器上循环显示0-9这10个数字-use 8031 serial port, parallel and serial shift register output 74LS164 expand a digital display on the digital display shows 0-9 this cycle 10 figures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3065
    • 提供者:韩雪琴
  1. 串并转换

    0下载:
  2. 用汇编写的串并转换程序,在masm 下调试-was compiled using the conversion and string, can debug
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1362
    • 提供者:许枫
  1. 并串转换XILINX

    0下载:
  2. 嵌入式中实现并串转换的VERILOG程序+VHDL程序两个版本,是xilinx版本的,权威但繁琐
  3. 所属分类:VHDL编程

    • 发布日期:2010-11-10
    • 文件大小:1411
    • 提供者:awenor
  1. 单片机串口编程

    0下载:
  2. 单片机串口编程---包含串并转换电路驱程序,驱动光二极管闪动程序, 串口发送和接收程序!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-12-13
    • 文件大小:9824
    • 提供者:dqjyong
  1. verilog实现串并转换模块

    3下载:
  2. verilog实现串并转换模块
  3. 所属分类:源码下载

  1. verilog实现串并转换

    1下载:
  2. verilog实现串并转换的源代码
  3. 所属分类:VHDL编程

    • 发布日期:2011-04-13
    • 文件大小:961
    • 提供者:kimi09
  1. 基于FPGA的串并转换程序

    2下载:
  2. 基于FPGA的串并转换程序,8位并行。调试仿真成功,内附仿真波形文件
  3. 所属分类:串口编程

    • 发布日期:2011-11-10
    • 文件大小:1857669
    • 提供者:zwp0822@126.com
  1. verilog vhdl编写的串并转换

    2下载:
  2. verilog vhdl编写的串并转换
  3. 所属分类:按钮控件

    • 发布日期:2011-12-18
    • 文件大小:26978
    • 提供者:Avinie_Fong
  1. jdcbzh.使用VHDL语言实现串并转换模块的实现

    0下载:
  2. 使用VHDL语言实现串并转换模块的实现,可在QUARTUS上实现,Use VHDL language string and conversion module, but in QUARTUS
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:1349
    • 提供者:吴丹
  1. s2p.rar

    3下载:
  2. 串并转换功能,采用VERILOG语言编写,包括测试文件,与大家分享,供大家参考,SERDES function, the use of language VERILOG, including the test documents to share with you, for your information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:741
    • 提供者:wangdali
  1. p2s

    0下载:
  2. 并串转换模块,内含有另个.vhd文件。一个是自己写的比较简单 另一个是参考的。-And the string conversion module, which contains another one. Vhd file. One is its relatively simple to write the other is the reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:329745
    • 提供者:wukun
  1. cdma-matlab

    6下载:
  2. 用matlab程序模拟实现cdma系统的整个过程。包括并串、串并转换,m序列的产生,直接扩频、解扩,qpsk的调制解调,载波调制解调等。-Matlab simulation procedures used to achieve the whole process of cdma systems. Including and string SERDES, m sequence generation, direct spread spectrum, despreading, qpsk the mod
  3. 所属分类:matlab

    • 发布日期:2017-03-26
    • 文件大小:8056
    • 提供者:朱丽平
  1. zzx

    0下载:
  2. 这个并串转换代码是依靠同步状态机来实现其控制的。其实并串转换在实际的电路中使用还是比较多的,尤其在通信线路方面的复用和分解方面,原理上就是一个串并转换和并串转换的过程。举个简单的例子,计算机串口发送数据的过程,如果满足发送条件了,其实就是一个并串转换的过程了。好了,废话不说,看代码就是。 写完一看,一个并串转换居然搞了这么大,有点失败。但是整个代码已经通过了后仿真,而且思路还是比较清楚的,可靠性和稳定性方面也应该没有问题滴,呵呵。不过说老实话,里面有些信号是确实可以去掉的,不过后来就懒
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:7011
    • 提供者:zzx
  1. readme_vhd

    0下载:
  2. VHDL串并转换源程序,可以实现信号在串行和并行间的转换。-SERDES VHDL source code, you can achieve signal at between serial and parallel conversion.
  3. 所属分类:MPI

    • 发布日期:2017-04-11
    • 文件大小:802
    • 提供者:kimli
  1. bingchuan2

    0下载:
  2. verilogHDL编写的并串转换模块,在ISE软件中仿真过,可综合,绝对是正确的-prepared and verilogHDL string conversion module, the ISE simulation software that can be integrated, is absolutely correct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:213237
    • 提供者:李晶
  1. 74595

    0下载:
  2. 串并转换仿真,内有详细说明和仿真波形,能够成功运行-SERDES simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1251880
    • 提供者:liuchao
  1. chu_bing

    0下载:
  2. 串并转换的一点总结希望对大家有一定的帮助谁有好后点的意见与我联系一下-String and convert the point summed up hope everyone who has a good help to a certain extent after the point of view contact me about
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:297690
    • 提供者:gaohao
  1. cbzh

    0下载:
  2. 串并转换的verilog文件带仿真结果图片的-String and convert the verilog file with simulation results pictures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1249587
    • 提供者:王双
  1. SERDES

    2下载:
  2. 基于Verilog的串并转换器的设计与实现,采用两种不同的方案来实现串并和并串转换的功能,并用ISE软件仿真以及chipscope的调试-Verilog-based serial and parallel converter design and implementation of two different programs to achieve the string and and and string conversion functions, and use the ISE softwa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-28
    • 文件大小:785408
    • 提供者:陈凯
  1. piso8

    0下载:
  2. 并/串转换的VHDL源代码,其中包括完整的QUARTUS2工程,还有正确的仿真波形。串行,并行数据 -Serial/parallel conversion ,VHDL source code, including complete QUARTUS2 project, and the correct simulation waveform file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:223220
    • 提供者:simulin_2008
« 12 3 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com