CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 异或

搜索资源列表

  1. BPprogram

    0下载:
  2. 用c语言实现bp神经网络,用bp神经网络解决了异或问题-Use C to construct BP neural network, use BP neural network to deal with the problem of xor
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-30
    • 文件大小:91190
    • 提供者:abc
  1. KadC

    0下载:
  2. Kademlia协议(以下简称Kad)是美国纽约大学的PetarP. Maymounkov和David Mazieres. 在2002年发布的一项研究结果《Kademlia: A peerto -peer information system based on the XOR metric》。 简单的说,Kad 是一种分布式哈希表(DHT)技术,不过和其他DHT 实现技术比较,如 Chord、CAN、Pastry 等,Kad 通过独特的以异或算法(XOR)为距离度量基础,建立了一
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-03-28
    • 文件大小:510394
    • 提供者:libing
  1. LFSRRC4

    0下载:
  2. 伪随机数发生器LFSR RC4加密与解密 根据算法原理,首先初始化S-BOX,产生伪随机序列密钥流,选择所加密文件与密钥流异或生成密文 -Pseudo-random number generator LFSR RC4 algorithm for encryption and decryption based on the principle, first initialize the S-BOX, pseudo-random sequence generated key stream,
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-09
    • 文件大小:1942687
    • 提供者:王风
  1. xortool

    0下载:
  2. 绝对难得的异或工具,做通讯的就有用了-xortool
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:4557
    • 提供者:地主
  1. DES_TOOL

    2下载:
  2. delphi做的用于计算常用算法的小工具:异或,DES和3DES各种工作模式,MAC值计算.本工具全部符合PBOC2.0规范.-The tool for XOR,DES,3DES,MAC.All of this fit to PBOC2.0
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-01
    • 文件大小:344169
    • 提供者:huntern`
  1. alu

    0下载:
  2. 用Verilog编写的简单的运算单元(ALU),可实现加、减、与、或、异或、非、左、右移等功能-Verilog prepared with simple arithmetic unit (ALU), can be add, subtract, and, or, exclusive-OR, non-, left, and other functions shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:191474
    • 提供者:wangzhen
  1. ALU

    0下载:
  2. alu设计,实现三十二位计算,包括加法和减法,以及与,或,异或等-design of alu,alu design, implement 32, including both the addition and subtraction, as well as AND, OR, XOR, etc
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:1577
    • 提供者:xuyajun
  1. BP_XOR

    0下载:
  2. 利用三层BP人工神经网络解决异或问题(XOR)用matlab编程实现,网络结构可以方便地调整-The use of three BP artificial neural network to solve XOR problem (XOR) using matlab programming, the network structure can be easily adjusted
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-02
    • 文件大小:1298
    • 提供者:yaozi
  1. logicCalc

    0下载:
  2. 因为最近要做协议,经常用到逻辑计算的功能,就编写了一个功能比较简单的逻辑计算器 可以实现异或、逻辑与、逻辑或的计算 分十进制和十六进制输入两种 输出也分十进制和十六进制 顺带附上源码,VB编写^_^-To be done because of the recent agreement, frequently used functions of the logic of the calculation, the preparation of a relatively simple
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-02
    • 文件大小:7549
    • 提供者:方亮
  1. PolyBoollily

    1下载:
  2. 一个很强悍的做多边形布尔运算的小程序,可以实现多边形的交、并、差和异或运算,GIS的朋友有福了。-A very powerful polygon Boolean operations to do a small program can be achieved polygon intersection, and, worse, and XOR, GIS friend blessed.
  3. 所属分类:GDI/图象编程

    • 发布日期:2012-11-05
    • 文件大小:73774
    • 提供者:lily
  1. EditDistance

    0下载:
  2. 编写的原始编辑距离算法,做成了界面的形式。字符串等长部分用异或写的,字符串不等长是用编辑距离写的。-the original edit distance algorithm
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-21
    • 文件大小:6260687
    • 提供者:xingyu
  1. RISC-CPU

    1下载:
  2. 用FPGA实现一个简易的CPU,采用精简指令集结构,每一条指令有16bit,高三位为指令操作数,后13位为地址,该CPU能实现8种指令操作,分别有HLT(空一个中期)ADD(相加操作)SKZ(为零跳过)AND(相与操作)XOR(异或操作)LDA(读数据)STO(写数据)JMP(无条件跳转指令)。cpu包括8个部件,分别为时钟发生器、指令寄存器、累加器、算术逻辑单元、数据控制器、状态控制器、程序计数器、地址多路器,各个部件之间的相互操作关系由状态控制器来控制,程序指令存放在初始rom中,本例程存放
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3147284
    • 提供者:vice
  1. jiami

    0下载:
  2. 有多种加密算法,如:字节取反、循环异或、码变换法、CSED、D3DES。-There are a variety of encryption algorithms, such as: anti-byte check, recycling XOR, code transformation, CSED, D3DES.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-15
    • 文件大小:62477
    • 提供者:feng
  1. xhyh

    0下载:
  2. 循环异或-XOR cycle
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-11-24
    • 文件大小:12952
    • 提供者:feng
  1. dingyiwei

    0下载:
  2. 运算符 结果 ~ 按位非(NOT)(一元运算) & 按位与(AND) | 按位或(OR) ^ 按位异或(XOR) >> 右移 >>> 右移,左边空出的位以0填充 运算符 结果 << 左移 &= 按位与赋值 |= 按位或赋值 ^= 按位异或赋值 >>= 右移赋值 >>>= 右移赋值,左边空出的位以0填充 <<= 左移赋值 -Op
  3. 所属分类:GUI Develop

    • 发布日期:2017-11-27
    • 文件大小:10596
    • 提供者:lw
  1. ANN_BP

    0下载:
  2. BP网络解决异或问题的实例,有初始值和最终结果的显示。本网络结构为三层BP网络,各层神经元个数可变,更改相关#define即可。(注释较详细,主函数中调用的函数和矩阵类都定义在文件function.h中)-BP network to solve XOR problem instance, there are initial values and final results are displayed. The BP network structure for the three-tier net
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-31
    • 文件大小:11101
    • 提供者:Sandy
  1. BP_XOR

    0下载:
  2. 自己写的一个简单的神经网络(BP)算法,用来解决异或(XOR)问题,初学神经网络的人可以下来看!-Wrote it myself a simple neural network (BP) algorithm, to solve the exclusive-OR (XOR) problem, learning neural network can look at the next!
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-01
    • 文件大小:106736
    • 提供者:chencailong
  1. chuankoujingling

    0下载:
  2. 所有的单片机都会涉及到232 或者485通讯,而通讯中又不可避免的出现错误.本例就给出了一个现成的校验软件.完成串口通讯,并且进行crc,累加和,异或和等效验结果-All of the single-chip will be 232 or 485 relating to communications, and communications also inevitable error. In this case on a given off-the-shelf software validatio
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:309065
    • 提供者:haifengmofan
  1. Bp_net

    0下载:
  2. 测试神经网络异或问题,只是一个很小的程序,希望大家能多多指教。-Testing neural network XOR problem, only a small fraction of the procedure, I hope we can all the exhibitions.
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-05-15
    • 文件大小:42036
    • 提供者:罗瑞
  1. XOR2

    0下载:
  2. 两输入异或门 两输入异或门-Two-input XOR gate two input XOR gate
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:31933
    • 提供者:暝剑
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 28 »
搜珍网 www.dssz.com