CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 方波

搜索资源列表

  1. DDS

    0下载:
  2. DDS 可以输出方波信号 步进为1的方波信号发生器-DDS
  3. 所属分类:Com Port

    • 发布日期:2017-05-14
    • 文件大小:3235556
    • 提供者:gcc
  1. boxing

    0下载:
  2. 单片机,常见波形程序,方波,正弦波,三角波,锯齿波-单片机,常见波形程序
  3. 所属分类:File Formats

    • 发布日期:2017-04-14
    • 文件大小:2634
    • 提供者:zym
  1. exptimer

    0下载:
  2. dsp程序,利用定时器timer0在XF脚产生周期2ms的的方波-dsp procedure, and use the timer cycle timer0 generated in 2ms XF foot of the square wave
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:4518
    • 提供者:kkk
  1. DSGsetup

    0下载:
  2. 具有产生正弦波、方波、三角波三种周期性的波形。 用键盘输入编辑生成上述三种波形(同周期)的线性组合波形,以及由基波及其谐波( 5次以下)线性组合的波形。 具有波形存储功能。 输出波形的频率为100Hz~20KHz(非正弦波频率按10次谐波计算):重复频率可调,频 率步进间隔≤100Hz。 输出波形幅度范围0~5V(峰-峰值),可按步进0.1V(峰-峰值)调整。 具有显示输出波形的类型、重复频率(周期)和幅度的功能。 - This design ha
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-10
    • 文件大小:2322768
    • 提供者:王林
  1. fangbo

    0下载:
  2. 将方波周期信号展开成傅里叶级数并生成3次,5次,8次,10次谐波-Expand the square wave into a Fourier series of periodic signal and generates 3 times, 5 times, 8 times, 10 times harmonic
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:687
    • 提供者:王芳
  1. zhengxuanxinhaojiance

    0下载:
  2. 方波信号的混沌阵子仿真模型,可用来仿真信号的最低信噪比!-The stream of square wave simulation model of the chaotic signal can be used to simulate the signal of the minimum signal to noise ratio!
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:6265
    • 提供者:wei
  1. VHDLfangbo

    0下载:
  2. 通过VHDL语言实现一个方波,代码里含有两个进程,一个分频一个输出方波。里面含有两个代码,可以根据需要参考-VHDL language through a square wave, the code will contain two processes, a frequency of a square wave output. Which contains two code, you can reference as needed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:756
    • 提供者:常云飞
  1. vc

    0下载:
  2. vbfangbo方波程序,很简单的。用一个按键去执行这个文件-v b fang bo cheng xu simple ones can u help me thanks.in this program, u can see a lot of things.
  3. 所属分类:Algorithm

    • 发布日期:2017-04-01
    • 文件大小:5941
    • 提供者:wangyu
  1. squart

    0下载:
  2. 用VHDL描述一个方波发生器 有原理 请参考-a squart-singnal production is discribed by vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:505446
    • 提供者:王鹏
  1. dsp

    0下载:
  2. 用TMS320VC5402实现方波发生器。假设时钟频率为4MHz,在XF端输出占空比为50 的方波,方波的周期由片上定时器确定,采用中断方法实现。 -DSP TMS320VC5402
  3. 所属分类:DSP program

    • 发布日期:2017-04-08
    • 文件大小:150828
    • 提供者:CHEN
  1. signal_generator

    0下载:
  2. 一款简单的信号发生器的源程序,用来产生最基本的信号波形,如方波,正弦波,三角波等-A simple signal generator of the source, used to produce the basic waveforms, such as square wave, sine wave, triangle wave, etc.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:23960
    • 提供者:liu
  1. square1

    0下载:
  2. 产生了一个正弦波,方波,三角波的db—sc调整信号的频谱,实现过程等-Produced a sine wave, square wave, triangle wave db-sc adjustment signal spectrum, the realization process, etc.
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:798
    • 提供者:沈伟
  1. VB_signal_generator

    0下载:
  2. 产生0-150Hz,0-35V的方波、三角波、正弦波信号以及载波信号。设置5-60采样间距生成调频或调幅信号。-Produce 0-150Hz ,0-35V square wave, triangle wave, sine wave signal and the carrier signal. Set 5-60 FM or AM sampling interval generated signals.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:21865
    • 提供者:罗锴
  1. wave_generator

    0下载:
  2. 方波发生器,可以按需要进行分频,是我的一个课程设计-Square-wave generator, can be divided as needed, is one of my course design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:361927
    • 提供者:李俊辉
  1. 1mSsqurewave

    0下载:
  2. 51单片机通过定时产生1mS方波,使用定时器0,P1.2口输出-51 single-chip timing generator 1mS square wave by using the timer 0, P1.2 port output
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:8678
    • 提供者:陈超
  1. 51单片机的方波输出

    0下载:
  2. 实现51单片机方波的输出控制与51单片机外设相接的小灯实现隔一秒闪烁
  3. 所属分类:嵌入式/单片机编程

  1. 输出占空比可调的方波

    0下载:
  2. 输出占空比可调的方波,占空比可以从0~100调节
  3. 所属分类:嵌入式/单片机编程

  1. avr宏定义1k方波

    0下载:
  2. avr宏定义1k方波,通过pb5口输出。定义操作方式简单实用(Avr macro definition 1 k square wave)
  3. 所属分类:其他

    • 发布日期:2017-12-21
    • 文件大小:15360
    • 提供者:gtc
  1. Stepper

    0下载:
  2. proteus仿真P0.0口按键控制/P2.0-P2.3输出方波/可驱动步进电机,也可以做为按键控制PWM输出,延时方波,不用定时器和中断(P0.0 port button control /P2.0-P2.3 output square wave / drive stepper motor, can also be used as keys to control PWM output, delay square wave, without timers and interrupts)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-21
    • 文件大小:84992
    • 提供者:kingkong_ye
  1. fangbo

    0下载:
  2. 方波傅里叶变换,功率谱计算等基于MATLAB仿真平台(FFT for this fangbo the invition of sensitive inovation like maniuplant simulation)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-17
    • 文件大小:1498112
    • 提供者:有哦有
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com