CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正反转

搜索资源列表

  1. Orthogonal coding

    4下载:
  2. 基于STM32的正交编码器设计与实现,包括原理图+PCB图+程序,可以显示正反转,转速,当前角度-Orthogonal coding based STM32 design and implementation, including schematic+ PCB map+ program, you can display rotating, speed, current angle
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-06-27
    • 文件大小:1508910
    • 提供者:cody
  1. xinde

    0下载:
  2. 89C51单片机控制步进电机,实现正反转,加减速和复位,用位数码管显示转速状态和级别-89C51 microcontroller stepper motor to achieve reversing, acceleration and deceleration, and reset, with digital display speed status and level
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:53821
    • 提供者:王迟迟
  1. prog

    0下载:
  2. 简单的PC通过串口控制单片机获得伺服电机正反转、停的上下位机控制程序-Simple access to PC through serial port servo motor control MCU rotating, the upper and lower stop position control procedures
  3. 所属分类:Communication

    • 发布日期:2017-04-09
    • 文件大小:1926100
    • 提供者:04jidian
  1. stepmotor

    0下载:
  2. 步进电机的单片机控制,包括正反转,调速功能等等。-Single-chip stepper motor control, including the positive, speed control function and so on.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:14155
    • 提供者:seven
  1. StepMotor

    0下载:
  2. 步进电机驱动程序,实现电机的正反转,有助于初学者的研究学习控制电机-Stepper motor driver, to achieve positive motor to help beginners learn the study of motor control
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:28405
    • 提供者:davidlee
  1. 步进电机及伺服电机的控制

    2下载:
  2. 本程序采用vhdl语言对步进电机及伺服电机进行控制,控制方式灵活,有变速,正反转,显示等多个模块-This procedure using VHDL language of stepper motor and servo motor control, control flexibility, have variable speed, positive, showing a number of modules, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:4458
    • 提供者:阿汤
  1. bujindianji

    0下载:
  2. 有硬件电路,源程序,可以用protues软件进行仿真测试,可以控制步进电机正反转和加速-Have hardware circuit, source code can be used protues software simulation testing, you can control the stepper motor and accelerate positive
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:17613
    • 提供者:linhao
  1. pwm_code

    0下载:
  2. 关于单片机PWM控制的一些仿真例程,正反转控制,波形输出等-About a number of single-chip PWM control simulation routines
  3. 所属分类:SCM

    • 发布日期:2017-05-11
    • 文件大小:2238035
    • 提供者:张子凤
  1. test21K

    0下载:
  2. SNC21085 马达正反转调速控制程序。-Motor Speed Control SNC21085 positive procedures.
  3. 所属分类:assembly language

    • 发布日期:2017-04-25
    • 文件大小:59193
    • 提供者:XiaoZhongKai
  1. dianzhenLED(1664)

    0下载:
  2. 意外之喜偶然获得了这个51单片机驱动LED点阵的例子,很佩服这位仁兄,尤其是他的硬件设计——利用总线驱动LED点阵更是值得学习。(有proteus仿真原理图)-Occasional pleasant surprise was the 51 single-chip LED dot-matrix-driven example of this man very much admired, especially his hardware design- the use of bus driver LED
  3. 所属分类:SCM

    • 发布日期:2016-11-23
    • 文件大小:127131
    • 提供者:wmx0901
  1. dianjizhengfanzhuan

    0下载:
  2. 电机正反转,单片机学习实例,可以帮助你学习很多-Motor positive, single-chip study examples, I can help you a lot of study
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:9782
    • 提供者:肖玩
  1. Main

    0下载:
  2. PWM电机控制程序,正反转,默认设置的工作频率1kHz,DSP2407的-PWM motor control procedures, positive, the default settings of the operating frequency of 1kHz, DSP2407' s
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:1289
    • 提供者:guohui
  1. controlsystemofwashingmachine

    0下载:
  2. 本系统设计并建立一个由微控制器控制的洗衣机控制系统。要求完成以下基本控制功能:给水和排水的自动控制,用户定时时间的设定,电机的正反转,各种定时和故障报警电路,定时开、关机的控制,三分钟延时启动的保护,具有键盘设定功能.设计相应的A/D、D/A、键盘、显示接口和传感器测量水位电路,可在线键盘参数设置、定时检测、显示、报警,其中控制输出部分采用模拟量或开关量进行控制。 -The system was designed and set up a micro-controller to contro
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:11794
    • 提供者:周海东
  1. BUJIN

    0下载:
  2. 本代码可实现通过C8051单片机控制步进电机正反转,加速、减速,等复杂动作。本开发环境选择IAR system/-though c8051 single ship the step engine can work forward or backward.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:38828
    • 提供者:郭建光
  1. 51motor

    1下载:
  2. 51写的单片机控制松下伺服电机正反转程序-51 Writing microcontroller servo motor control Matsushita positive process
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:24598
    • 提供者:张飞
  1. pwm_control

    0下载:
  2. 用VHDL实现的对电机的控制,包括正反转和调速-VHDL implementation of the use of motor control, including the positive and Speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:731
    • 提供者:wumingxing
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. bujin

    0下载:
  2. 该功能主要是,驱动两相四线步进电机,正反转,调速等,用于大家的练习!-kjlkjdujiai
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-17
    • 文件大小:33784
    • 提供者:东旭
  1. stepmotor

    0下载:
  2. 51单片机对步进电机的控制程序,可实现步进电机的正反转-51 single-chip stepper motor control of the process, the stepper motor can achieve positive
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:131221
    • 提供者:全全
  1. DIANJIKZHI

    0下载:
  2. 单片机的电机控制程序,实现正反转,转速控制,这是简单的电机控制入门学习佳作-Single-chip motor control process, achieve positive, speed control, it is easy to learn the fine motor control entry
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3440
    • 提供者:nick
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com