CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波发生器

搜索资源列表

  1. VHDL_implementation_1KHz_sine_wave_generator

    0下载:
  2. 用VHDL实现1KHz正弦波发生器,编译器是Quartus II 5.4-1KHz sine wave generator using VHDL implementation, the compiler is a Quartus II 5.4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:187494
    • 提供者:ken
  1. aa

    0下载:
  2. 1. 设计并制作一个具有高频率稳定度和高相位稳定度的低频函数发生器,频率可调,为1HZ-1KHz; 2. 波形种类:三角波、正弦波、方波、锯齿波 3. 没有明显的波形失真 4. 具有频率、波形种类显示和设置功能,即能通过按键设置指定频率,指定种类的波形输出,并在数码管上显示频率值及波形种类。 输出电压:0V~+5V -1. Design and produce a high frequency stability and high phase stability of l
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:76904
    • 提供者:394177191
  1. VHDL

    0下载:
  2. 利用VHDL实现任意函数发生器,包括方波、正弦波、三角波等。-The use of VHDL to achieve arbitrary function generator, including square, sine wave, triangle wave and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:40212
    • 提供者:陈海巍
  1. SIGNAL_GEN

    0下载:
  2. 利用EDA的VHDL硬件描述语言设计的函数信号发生器,可以产生递增、递减斜波,三角波,阶梯波,正弦波,方波-The use of EDA, VHDL hardware descr iption language design function of the signal generator can generate increased progressively decreasing ramp, triangle wave, step-wave, sine wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:518701
    • 提供者:心心
  1. ROM_based_sine_wave_generator_VHDL_design

    0下载:
  2. VHDL基于ROM的正弦波发生器的设计的实验报告,内附源代码-ROM-based sine wave generator VHDL design of experiment reports, included the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4367
    • 提供者:CXJ
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. xinhaofashengqi

    0下载:
  2. 本设计以LPC2103为控制芯片,探索研究了低频信号发生器的原理和应用,设计输出频率及幅度可调,频率范围为1HZ~50KHZ的正弦波、方波、三角波、调幅波、调频波及其复合波信号,具有信号频率、波形、幅度变化容易,硬件简单可靠等特点的多功能信号源。-For the control of the LPC2103 chip design, explore and study the low frequency signal generator theory and application of des
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-30
    • 文件大小:562845
    • 提供者:tan
  1. bantu

    0下载:
  2. 简易的信号发生器版图,可以实现正弦波发生,方波发生,调幅调频,并且通过LED显示出来-Simple signal generator layout can be achieved sine wave occurs, square wave occurs, AM FM, and displayed through the LED
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:130717
    • 提供者:李宇
  1. bxf

    0下载:
  2. 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。本次课程设计使用的AT89S51 单片机构成的发生器可产生锯齿波、三角波、正弦波等多种波形,波形的周期可以用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑等优点。在本设计的基础上,加上按钮控制和LED显示器,则可通过按钮设定所需要的波形频率,并在LED上显示频率、幅值电压,波形可用示波器显示。 -Waveform generator is a common source, widel
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:4528
    • 提供者:
  1. Sinewavegenerator

    0下载:
  2. 一个正弦波发生器的51c程序 可供学习之用-51c a sine wave generator program for learning purposes
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:7750
    • 提供者:lzn
  1. DigitalSignalGenerator

    1下载:
  2. 多功能信号发生器,实现4种常见波形正弦波、三角波、锯齿波、方波的功能。并且输出信号的频率范围为100Hz~200KHz,输出频率可以调节;可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出,具有显示输出波形、频率的功能。-Digital Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:79065
    • 提供者:smile
  1. aa

    0下载:
  2. 设计制作一个任意波形发生器,该波形发生器能产生正弦波、方波、三角波,通过一定的通信方式将用户编辑的任意形状波形数据从PC机传输至微控制器,并从波形生成电路输出所需的波形。波形发生器能够在一定范围内保证恒压输出-Designed by an arbitrary waveform generator, the waveform generator can produce sine, square, triangle wave, through a certain means of communica
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:54244
    • 提供者:谢云辉
  1. xhfsqyanjiu

    0下载:
  2. 基于直接数字频率合成(DDS) 原理,利用AT89C52 单片机作为控制器件,采用AD9850 型DDS 器件设计一个信号发生器。给出了信号发生器的硬件设计和软件设计参数,该系统可输出正弦 波、方波,且频带较宽、频率稳定度高,波形良好。该信号发生器具有更强的市场竞争力,在跳频技术、 无线电通信技术方面具有比较广阔的发展前景。-Based on Direct Digital Synthesis (DDS) theory, using AT89C52 microcontroller as
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:959388
    • 提供者:姚木
  1. monidianzifanshengqi

    0下载:
  2. 产生方波——三角波——正弦波函数信号发生器-Produce a square wave- Triangle wave- sine function signal generator
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:241265
    • 提供者:汪颖
  1. 300-C51B

    0下载:
  2. 300个原创程序之C51第二部分 12864显示模块 2005 CCTV ROBOT 2005 CCTV_N 2005电子设计竞赛 2006 CCTV ROBOT 4X4键盘 8253频率计 8人表决器 AD9850DDS AD9851DDS DS1820 EX-board I2C总线驱动程序 LED电子钟 PS2接口 TC1297 Test USB_IDE 串口摸拟 冲床自动进料控制 冷光源调光 基于51内
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-08
    • 文件大小:1731791
    • 提供者:高海军
  1. xinhaofashengqi

    0下载:
  2. 信号发生器,正弦波,可调占空比的方波,锯齿波-Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:136211
    • 提供者:贾恒龙
  1. 51_mcu_DDS_signal_generator

    0下载:
  2. 该文件时基于单片机的DDS函数信号发生器,产生的波形为三种:三角波,正弦波,方波-The document function based on single chip DDS signal generator, waveform generated three types: triangle wave, sine wave, square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:71976
    • 提供者:郑道宝
  1. sin

    0下载:
  2. 正弦波发生器。用VHDL语言实现。基本功能。-sinusoid generator
  3. 所属分类:Wavelet

    • 发布日期:2017-04-10
    • 文件大小:653
    • 提供者:苏瑞君
  1. zhengxianbo

    0下载:
  2. 正弦波发生器,用VHDL实验,使用地址发生器和lpm_rom完成。-Sine wave generator, experiment with VHDL, use the address generator and lpm_rom completed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1127902
    • 提供者:liuxing
  1. DDS

    0下载:
  2. 基于直接频率合成技术(DDS)的正弦波波形发生器-DDS sin signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:685415
    • 提供者:guoxin
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 37 »
搜珍网 www.dssz.com