CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 级联积分梳状滤波器

搜索资源列表

  1. 49636992ciccomp

    1下载:
  2. 级联积分-梳状(Cascaded Integrator–Comb,CIC)滤波器,是种高 效滤波器。它是一种线形相位FIR滤波器,由工作在高抽样率的级联理 想积分器和低抽样率的级联微分器组成,根据抗混叠和抗镜像的指标 确定所需的级联数目。 -Cascaded integrator- comb (Cascaded Integrator-Comb, CIC) filter, is the kind of high efficiency filter. It is a linear p
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2302
    • 提供者:刘哲
  1. CIC

    0下载:
  2. 五阶CIC滤波器,用于降低数据传输速率。数字下变频技术不仅是软件无线电核心技术之一,还是中频数字化接收系统重要组成部分。数字下变频技术中广泛用到级联积分梳状滤波器(CIC滤波器)-CIC filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1430942
    • 提供者:姚琼琼
  1. digitaldownconversionbygpga

    0下载:
  2. 研究了高倍抽取的数字下变频设计,重点分析了基于级联积分梳状滤波器和级联半带滤波器的多级抽样频率算法。-Extraction of the high-powered digital down-conversion design, the focus of a cascaded integrator comb filter based on cascaded half-band filter and the multi-level sampling frequency algorithm.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:219194
    • 提供者:w
  1. a-new-mthod-of-cic3_decimator

    0下载:
  2. 一种CIC滤波器的实现方法,包括以下步骤:将数据信号输入一梳状滤波器组进行滤波,所述梳状滤波器组由若干梳状滤波器级联而成;将滤波后的数据信号输入一保持器;将保持器输出的数据信号经一积分器组输出,所述积分器组由若干积分器级联而成,与所述梳状滤波器组的级数相同。一种CIC滤波器,包括:梳状滤波器组,由若干梳状滤波器级联而成;积分器组,由若干积分器级联而成;保持器,串联在最后一级梳状滤波器的输出端和第一级积分器的输入端之间。-a new method of CIC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1017
    • 提供者:罗辉
  1. Digital-IF-Receiver-Based-on-FPGA

    0下载:
  2. 基于FPGA的数字中频接收机设计与实现。近年来雷达行业提出了软件雷达的概念,数字技术在雷达中的广泛应用已成为一种必然趋势。现代雷达系统对接收机提出了更高的要求,数字接收机技术已成为实现高精度宽带雷达接收系统的一种有效途径。研究了数字接收机的相关理论和技术,介绍了数字下变频,数控振荡器、级联积分梳状滤波器和抽取。给出了一种基于FPGA的数字中频接收机实现方案,进行了分析和仿真,给出了测试结果-Design and Implementation of Digital IF Receiver Base
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:494650
    • 提供者:车万方
  1. CIC-FILTER

    0下载:
  2. 有关级联积分梳状滤波器的硬件描述语言,用VHDL编写,共有五级-CIC FILTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:822
    • 提供者:周春浩
  1. CIC_filter

    0下载:
  2. 三级级联梳状滤波器(CIC)的verilog实现。顶层模块top_moduole下面包含三个子模块,积分模块integrated,抽取模块decimate和梳状滤波器模块comb,已验证可综合通过并实现CIC功能-Three-level cascade comb filter (CIC) verilog implementation.Top-level module top_moduole below contains three child module, integral module in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2119
    • 提供者:xuzigeng
  1. cic_design

    0下载:
  2. 采用CIC(级联积分梳状)滤波器实现降采样的功能,并分析了级联级数、差分延时数对CIC滤波器幅频响应的影响;采用Verilog语言实现了CIC滤波及降采样的功能;-Using CIC (Cascaded Integrator Comb) filter down-sampling function, and analyzes cascaded stages, affecting the number of differential delay CIC filter amplitude-freque
  3. 所属分类:matlab

    • 发布日期:2017-04-14
    • 文件大小:3423
    • 提供者:litang
  1. cic

    3下载:
  2. CIC(Cascaded Integrator Comb) filter, 即级联积分梳状滤波器, 具有结构简单, 便于处理, 运算速度快等特点(CIC(Cascaded Integrator Comb) filter)
  3. 所属分类:matlab例程

    • 发布日期:2019-04-07
    • 文件大小:20480
    • 提供者:Meng2018
搜珍网 www.dssz.com