CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 随机序列

搜索资源列表

  1. PNgen

    0下载:
  2. 包含随机序列生成函数和正交复指数矩阵生成函数
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1013
    • 提供者:秦丽
  1. work

    0下载:
  2. 一种基于DCT变换的数字水印算法的实现过程,水印为伪随机序列,包括嵌入和提取两个过程。其中textmark.m为攻击实验代码。
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:2551
    • 提供者:陈日进
  1. Pseudo-random-code

    0下载:
  2. 基于FPGA实现的伪随机序列快速同步.rar
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:185250
    • 提供者:双方都
  1. yuanma

    0下载:
  2. (1)为了提高水印的安全性,在嵌入水印之前首先对水印信息利用Arnold变换进行置乱。 (2)为了提高传统相关性检测方法的准确率,嵌入水印时采用嵌入两个不相关伪随机序列的方法,大大提高了检测的准确率。 (3)低频部分集中图像的大部分能量,修改这里容易引起失真,所以本文采用修改中高频部分的方法进行嵌入。 (4)本文水印的检测方法为半盲检测,只需要原始水印的部分信息的参与,所以具有重要的现实意义。
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:101195
    • 提供者:anlang
  1. pnsequence

    0下载:
  2. 位随机序列的仿真程序,对学习跳频和扩频通信的初学者来说是必不可少的
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:842
    • 提供者:杨朝建
  1. weisuiji

    0下载:
  2. 伪随机序列中本原多项式生成算法 伪随机序列中本原多项式生成算法
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:326926
    • 提供者:tcy
  1. NL_prsg9

    0下载:
  2. vhdl的伪随机序列发射器程序,已经过仿真,仿真正确且能够成功应用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:850
    • 提供者:张海风
  1. shuiyin

    0下载:
  2. 加入白噪声的音频水印程序,采用3级小波分解,将随机序列嵌入到低频系数中
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1417
    • 提供者:小刀
  1. Mseries

    0下载:
  2. 本文介绍了m伪随机序列的产生和设计原理,比较适合图像的加密。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4561
    • 提供者:李晨
  1. myrandom

    0下载:
  2. 产生长度为n的,元素大于、小于m的一维随机序列
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:24778
    • 提供者:方宇
  1. linear_feedback_shift_register_unit

    0下载:
  2. 实现产生伪随机序列的部件 —— 线性反馈移位寄存器单元。 SFlog2为扩频因子的底数为2的对数值,cycle为PN序列的周期,其值为2^SFlog2。initial_state为移位寄存器的初始状态,generator_polynomial_coefficient为生成PN序列所需的本原多项式,对应于移位寄存器的连接向量。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1148
    • 提供者:fisher
  1. generate_prbs

    0下载:
  2. 移动通讯PHY设计中用到的数据块的随机序列产生模块,用于加扰处理。ADI的TS系统DSP平台。
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:1278
    • 提供者:fangyougang
  1. lfsr6s3

    0下载:
  2. 线性反馈移位寄存器Verilog源程序,能够产生伪随机序列
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:1844
    • 提供者:帅哥
  1. randinterval

    1下载:
  2. 该程序用于嵌入信息位置的选择 可以根据产生的随机序列来嵌入 达到满足信息安全的要求
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1045
    • 提供者:长风
  1. 基于 MATLAB 的伪随机序列的产生和分析

    0下载:
  2. 3GPP_LTE中OFDM和sc-ofdm 的区别,很好,容易理解解。初学者很实用
  3. 所属分类:matlab例程

    • 发布日期:2014-01-21
    • 文件大小:115896
    • 提供者:yao
  1. CDMA_basic_of_PN_nei_plan

    0下载:
  2. CDMA网络规划基础 PN码规划和邻区的规划 解释了PN码(伪随机序列)以及CDMA网络中的邻区的概念以及基本的规划方法
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:2232930
    • 提供者:zhoukui
  1. pnseq-1.1

    0下载:
  2. 产生PN序列,及产生伪随机序列,可用于数字水印扩频等
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:78677
    • 提供者:zhengyun
  1. 38808_100259_8515

    0下载:
  2. 伪随机序列在扩频通信、流密码、信道编码等领域有着十分广泛的应用。文通过对伪随机m序列的编码和译码算法进行的MatLab语言仿真,验证了伪随机序列码的编码和译码算法,丰富了伪随机序列的实现方法,并提供了源代码。
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:89599
    • 提供者:Navy2008_CHINA
  1. 一个新的伪随机序列编码源程序

    0下载:
  2. 这是一个较新的伪随机数生成程序,是用汇编语言编写的,不错-This is a relatively new pseudo-random number generator procedure is written in assembly language, good
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1494
    • 提供者:gcl
  1. 几种编码方式

    0下载:
  2. A. 产生一个长为1000的二进制随机序列,“0”的概率为0.8,”1”的概率为0.2;B. 对上述数据进行归零AMI编码,脉冲宽度为符号宽度的50%,波形采样率为符号率的8倍,画出前20个符号对应的波形(同时给出前20位信源序列);C. 改用HDB3码,画出前20个符号对应的波形;D. 改用密勒码,画出前20个符号对应的波形;E. 分别对上述1000个符号的波形进行功率谱估计,画出功率谱;F. 改变信源“0”的概率,观察AMI码的功率谱变化情况;-have a length of 1,000
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:59757
    • 提供者:郭慧勤
« 1 2 3 4 5 6 78 9 10 11 12 ... 41 »
搜珍网 www.dssz.com