CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:shi****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. light_controller

    0下载量:
  2. 用HDL语言编写彩灯控制程序: 用状态机实现一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮,要 求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。程序所用时钟的频率为1HZ。-Lantern with HDL language control program: A state machine to achieve a circular lantern controller that controls red, green, yellow three LED lights c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:611
搜珍网 www.dssz.com