CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:常****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. cont10_v.sym

    0下载量:
  2. 十进制计数器既可采用QuartusII的宏元件74160,也可用VHDL语言设计。在项目编译仿真成功后,将设计的十进制计数器电路设置成可调用的元件cont10_v.sym,用于4位十进制计数器的顶层设计。-Decimal counter can use QuartusII macro components 74160, also available VHDL language design. After the success of the project compiled simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:869
搜珍网 www.dssz.com