CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:pepsi*****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. vhdl

    0下载量:
  2. LED控制,实现LED功能!源代码!8.2 .3程序设计与仿真 例1:FPGA驱动LED静态显示 --文件名:decoder.vhd --功能:译码输出模块,LED为共阳接法 -LED controls, LED function to achieve! Source code! .3 8.2 programming and simulation case 1: FPGA driving LED static display- File Name: decoder.vhd- Fu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1103
搜珍网 www.dssz.com