CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:陈****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. youpiao

    0下载量:
  2. 使用vhdl语言设计自动售邮票机。用两个发光二极管分别模拟售出面值为6角和8角的邮票,购买者可以通过开关选择一种面值的邮票,灯亮时表示邮票售出。用开关分别模拟1角、5角和1元硬币投入,用发光二极管分别代表找回剩余的硬币。 -Using vhdl language design vending stamp machine. Sold two light-emitting diodes were simulated nominal value of 60 cents and 80 cents s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-11
    • 文件大小:137216
搜珍网 www.dssz.com