CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:刘****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. sin-creater

    0下载量:
  2. 本文通过一种较简单的方法,设计了频率可调的正弦信号函数发生器。本文设计的正弦波发生器通过改变取点的步长实现频率可调,通过加法器获得输出点地址,调用ROM存储单元的数据,实现了正弦波发生器的设计。包括源码以及仿真结果,完整实验报告~-This article through a relatively simple method, the design of the sinusoidal signal of the frequency tunable function generator. Pape
  3. 所属分类:Project Design

    • 发布日期:2017-11-23
    • 文件大小:286555
搜珍网 www.dssz.com