CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:al***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. volt

    1下载量:
  2. 基于FPGA的数字电压表的设计。用FPGA完成ADC0809的控制,测量电压值,并用数码管显示。-FPGA-based design of digital voltmeter. ADC0809 with FPGA complete control, measure voltage, and digital tube display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:147428
搜珍网 www.dssz.com