CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:宇***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. fpga_ztj

    0下载量:
  2. 对于FPGA状态机的设计心得 对于FPGA状态机的设计分为两类,分为mealy状态机和Moore状态机,mealy状态机的输出不仅与当前输入有关还与当前状态有关,而Moore状态机的输出仅与当前状态有关。对于状态机描述首先要知道输入,输出,当前状态,下一个状态的基本定义。 对不状态机的设计,首先要有一个初始状态,一般命名为IDLE,其状态一般设定在复位信号到来时。 对于时钟敏感的信号,在其最大的一个时钟周期作为总的状态循环,最下的一个时钟信号最为一个状态指令,一般用于时序图的描述;对
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:2365
搜珍网 www.dssz.com