CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:stone*****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. verilog读取bmp图像数据的程序段.txt

    0下载量:
  2. verilog 写的程序段,实现的功能是把bmp图像直接读到数组中。主要是用在仿真过程中,读取图像数据产生video激励用。 代码是个代码片段,只是读取bmp图像部分。 有分的觉得有用的话赏个分,没分的捧个场啦。(read bmp data to array ,used in video stream gen when sim)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:2048
搜珍网 www.dssz.com