CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:刘***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. vhdl_lcd

    0下载量:
  2. 使用C语言与VHDL实现 液晶显示控制器示例使用说明 使用模块有:单片机模块、液晶显示模块。 使用步骤: 1. 打开电源+5V。 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 液晶屏上将显示一幅图像。 -use C language and VHDL LCD controller using examples of the use of modules : SCM modules, LCD disp
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:40046
搜珍网 www.dssz.com