CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:Wild****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. Domain decomposition for hyperbolic equations

    1下载量:
  2. 双曲方程的域分解,该模型显示了如何使用域分解技术求解迭代算法。 系数形式PDE u1(c4)求解u1 系数形式PDE u2(c)求解u2 系数表PDE v1(c2)将u1存储到v1 系数表PDE v2(c3)将u2存储到v2 然后计算并迭代如下: 1.计算初始化U 2.在“ LOOP”>“ Step1”>“变量值未解决”中:选择“解决方案”:“ Init U”,然后“计算” 3.在“ LOOP”>“ Step1”>“变量值未解决”中:选择“解决方案:LOOP”,然后根据需
  3. 所属分类:其他

    • 发布日期:2020-11-18
    • 文件大小:143360
搜珍网 www.dssz.com