CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:tan****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. RS232

    0下载量:
  2. 用硬件描述语言VHDL进行串行通信接口电路设计,能通过RS232协议与PC机进行通信。-VHDL hardware descr iption language used for serial communication interface circuit design, through the RS232 protocol to communicate with the PC unit.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:785082
搜珍网 www.dssz.com