CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:l****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. xuliejiancesheji

    0下载量:
  2. 用状态机实现一序列检测器,即检测到串行码{1110010}后,检测器输出1,否则输出0; -State machine used to achieve one sequence detector, which detects the serial code (1110010), the detector output 1, otherwise output 0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:47629
搜珍网 www.dssz.com