CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:离***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. TKC7524jiekoudianluchengxu

    0下载量:
  2. 根据TLC7524输出控制时序,利用接口电路图,通过改变输出数据,设计一个正弦波发生器。TLC7524是8位的D/A转换器,转换周期为 ,所以锯齿波型数据有256个点构成,每个点的数据长度为8位。.FPGA的系统时钟为 ,通过对其进行5分频处理,得到频率为 的正弦波-TLC7524 output under the control of timing, the use of interface circuit, by changing the output data, the design o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:972
搜珍网 www.dssz.com