CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:阿***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. chuzhuche2

    0下载量:
  2. VHDL语言设计的出租车计费器,能模拟汽车启动、停止、暂停、车速等状态,能预置起步费、每公里收费、车行加费里程,能实现计费功能。功能强大,初学者适合看一看。-VHDL language design taxi billing, and can simulate the vehicle to start, stop, pause, speed, etc., and to preset the initial charges, fees and charges per kilometer, plus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1896698
搜珍网 www.dssz.com