CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:赖****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. bjdjkz

    0下载量:
  2. 由单片机P2口输出的脉冲信号来模拟4相线圈产生的电流,来控制步进电机,用4个LED亮灭的快慢来模拟电机的速度,用它们的亮灭顺序来模拟电机的相序和拍数。-P2 port output from the MCU to simulate the four-phase pulse signal generated by the coil current to control the stepper motor, with 4 LED light blinking speed to simulate th
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:4746
搜珍网 www.dssz.com