CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 书籍源码

文件名称:verilog-hdl-135examples

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    158.4kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

verilog设计全,非常经典哦,不要错过哈-verilog design, very classic, oh, do not miss
(系统自动生成,下载前可以参看下载内容)

下载文件列表

verilog hdl教程135例/examples.pdf
verilog hdl教程135例/chap10/acc.acf
verilog hdl教程135例/chap10/acc.hif
verilog hdl教程135例/chap10/acc.v
verilog hdl教程135例/chap10/accn.v
verilog hdl教程135例/chap10/add8.v
verilog hdl教程135例/chap10/adder8.v
verilog hdl教程135例/chap10/block1.v
verilog hdl教程135例/chap10/block2.v
verilog hdl教程135例/chap10/block3.v
verilog hdl教程135例/chap10/block4.v
verilog hdl教程135例/chap10/control.v
verilog hdl教程135例/chap10/fsm.v
verilog hdl教程135例/chap10/longframe1.v
verilog hdl教程135例/chap10/longframe2.v
verilog hdl教程135例/chap10/pipeline.v
verilog hdl教程135例/chap10/reg8.v
verilog hdl教程135例/chap10/resource1.v
verilog hdl教程135例/chap10/resource2.v
verilog hdl教程135例/chap11/account.v
verilog hdl教程135例/chap11/clock.v
verilog hdl教程135例/chap11/count10.v
verilog hdl教程135例/chap11/fre_ctrl.v
verilog hdl教程135例/chap11/latch_16.v
verilog hdl教程135例/chap11/paobiao.v
verilog hdl教程135例/chap11/sell.v
verilog hdl教程135例/chap11/song.v
verilog hdl教程135例/chap11/traffic.v
verilog hdl教程135例/chap11/transcript
verilog hdl教程135例/chap12/add_ahead.v
verilog hdl教程135例/chap12/add_bx.v
verilog hdl教程135例/chap12/add_jl.v
verilog hdl教程135例/chap12/add_tree.v
verilog hdl教程135例/chap12/correlator.v
verilog hdl教程135例/chap12/crc.v
verilog hdl教程135例/chap12/cycle.v
verilog hdl教程135例/chap12/decoder1.v
verilog hdl教程135例/chap12/decoder2.v
verilog hdl教程135例/chap12/fir.v
verilog hdl教程135例/chap12/linear.v
verilog hdl教程135例/chap12/mult.v
verilog hdl教程135例/chap12/mult4x4.v
verilog hdl教程135例/chap3/adder4.v
verilog hdl教程135例/chap3/adder_tp.v
verilog hdl教程135例/chap3/adder_tp.v.bak
verilog hdl教程135例/chap3/aoi.v
verilog hdl教程135例/chap3/count4.v
verilog hdl教程135例/chap3/count4_tp.v
verilog hdl教程135例/chap5/adder.v
verilog hdl教程135例/chap5/adder16.v
verilog hdl教程135例/chap5/alu.v
verilog hdl教程135例/chap5/block.v
verilog hdl教程135例/chap5/buried_ff.v
verilog hdl教程135例/chap5/compile.v
verilog hdl教程135例/chap5/count.v
verilog hdl教程135例/chap5/count60.v
verilog hdl教程135例/chap5/decode4_7.v
verilog hdl教程135例/chap5/loop1.v
verilog hdl教程135例/chap5/loop2.v
verilog hdl教程135例/chap5/loop3.v
verilog hdl教程135例/chap5/mult_for.v
verilog hdl教程135例/chap5/mult_repeat.v
verilog hdl教程135例/chap5/mux21_1.v
verilog hdl教程135例/chap5/mux21_2.v
verilog hdl教程135例/chap5/mux4_1.v
verilog hdl教程135例/chap5/mux_casez.v
verilog hdl教程135例/chap5/non_block.v
verilog hdl教程135例/chap5/test.v
verilog hdl教程135例/chap5/voter7.v
verilog hdl教程135例/chap5/wave1.v
verilog hdl教程135例/chap5/wave2.v
verilog hdl教程135例/chap6/alutask.v
verilog hdl教程135例/chap6/alu_tp.v
verilog hdl教程135例/chap6/code_83.v
verilog hdl教程135例/chap6/count.v
verilog hdl教程135例/chap6/funct.v
verilog hdl教程135例/chap6/funct_tp.v
verilog hdl教程135例/chap6/paral1.v
verilog hdl教程135例/chap6/paral2.v
verilog hdl教程135例/chap6/serial1.v
verilog hdl教程135例/chap6/serial2.v
verilog hdl教程135例/chap7/add4_2.v
verilog hdl教程135例/chap7/add4_3.v
verilog hdl教程135例/chap7/count4.v
verilog hdl教程135例/chap7/full_add1.v
verilog hdl教程135例/chap7/full_add2.v
verilog hdl教程135例/chap7/full_add3.v
verilog hdl教程135例/chap7/full_add4.v
verilog hdl教程135例/chap7/full_add5.v
verilog hdl教程135例/chap7/half_add1.v
verilog hdl教程135例/chap7/half_add2.v
verilog hdl教程135例/chap7/half_add3.v
verilog hdl教程135例/chap7/half_add4.v
verilog hdl教程135例/chap7/mux2_1a.v
verilog hdl教程135例/chap7/mux2_1b.v
verilog hdl教程135例/chap7/mux2_1c.v
verilog hdl教程135例/chap7/mux4_1a.v
verilog hdl教程135例/chap7/mux4_1b.v
verilog hdl教程135例/chap7/mux4_1c.v
verilog hdl教程135例/chap7/mux4_1d.v
verilog hdl教程135例/chap8/add8_tp.v
verilog hdl教程135例/chap8/carry_udp.v
verilog hdl教程135例/chap8/carry_udpx1.v
verilog hdl教程135例/chap8/carry_udpx2.v
verilog hdl教程135例/chap8/count8_tp.v
verilog hdl教程135例/chap8/delay.v
verilog hdl教程135例/chap8/dff.v
verilog hdl教程135例/chap8/dff_udp.v
verilog hdl教程135例/chap8/latch.v
verilog hdl教程135例/chap8/mult_tp.v
verilog hdl教程135例/chap8/mux31.v
verilog hdl教程135例/chap8/mux_tp.v
verilog hdl教程135例/chap8/random_tp.v
verilog hdl教程135例/chap8/rom.v
verilog hdl教程135例/chap8/test1.v
verilog hdl教程135例/chap8/test2.v
verilog hdl教程135例/chap8/time_dif.v
verilog hdl教程135例/chap9/bidir.v
verilog hdl教程135例/chap9/bidir2.v
verilog hdl教程135例/chap9/code_83.v
verilog hdl教程135例/chap9/decode47.v
verilog hdl教程135例/chap9/decoder_38.v
verilog hdl教程135例/chap9/dff.v
verilog hdl教程135例/chap9/dff1.v
verilog hdl教程135例/chap9/dff2.v
verilog hdl教程135例/chap9/encoder8_3.v
verilog hdl教程135例/chap9/gate1.v
verilog hdl教程135例/chap9/gate2.v
verilog hdl教程135例/chap9/gate3.v
verilog hdl教程135例/chap9/jk_ff.v
verilog hdl教程135例/chap9/johnson.v
verilog hdl教程135例/chap9/latch_1.v
verilog hdl教程135例/chap9/latch_2.v
verilog hdl教程135例/chap9/latch_8.v
verilog hdl教程135例/chap9/mac.v
verilog hdl教程135例/chap9/mac_tp.v
verilog hdl教程135例/chap9/map_lpm_ram.v
verilog hdl教程135例/chap9/mpc.v
verilog hdl教程135例/chap9/mpc_tp.v
verilog hdl教程135例/chap9/mux_case.v
verilog hdl教程135例/chap9/mux_if.v
verilog hdl教程135例/chap9/parity.v
verilog hdl教程135例/chap9/ram256x8.v
verilog hdl教程135例/chap9/reg8.v
verilog hdl教程135例/chap9/rom.v
verilog hdl教程135例/chap9/serial_pal.v
verilog hdl教程135例/chap9/shifter.v
verilog hdl教程135例/chap9/tri_1.v
verilog hdl教程135例/chap9/tri_2.v
verilog hdl教程135例/chap9/updown_count.v
verilog hdl教程135例/chap10
verilog hdl教程

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com