CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - M序列

搜索资源列表

  1. m序列

    3下载:
  2. Verilog编写的M序列发生器,希望能对大家带来帮助。 -Verilog prepared by the M-sequence generator, we hope to bring help.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4913
    • 提供者:张林
  1. m序列发生器

    1下载:
  2. m序列发生器(简单型码序列发生器-----simple shift register generator)-m sequence generator (a simple code sequence generator ----- simple shift Regi ster generator)
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3234
    • 提供者:mingerlier
  1. m序列产生器

    1下载:
  2. m序列产生器 用于扩频通信-m sequence generator for spread spectrum communications
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3037
    • 提供者:田允国
  1. 随机m序列校验器

    2下载:
  2. 该源码是采用dspbuilder进行设计,目的是完成一个为随机m序列校验器,很有用看看就知道了
  3. 所属分类:matlab例程

    • 发布日期:2014-01-17
    • 文件大小:2907
    • 提供者:fdsaf
  1. 单片机M序列发生器

    5下载:
  2. 基于51单片机实现的M序列发生器(伪随机序列),在Keil编程环境下的源码
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2013-01-25
    • 文件大小:2554
    • 提供者:John
  1. M序列产生程序

    2下载:
  2. matlab在系统辨识中的应用此处为M序列产生的源代码及运行后结果(包括图像)-Matlab system identification in the application here for the M series of source code and run after the results (including images)
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:10767
    • 提供者:于瑞
  1. mxuliem序列

    1下载:
  2. m序列子程序,可以作为一个被调用的函数
  3. 所属分类:matlab例程

  1. M序列发生器的FPGA实现

    1下载:
  2. M序列发生器的FPGA实现
  3. 所属分类:源码下载

    • 发布日期:2010-12-04
    • 文件大小:868646
    • 提供者:qiu578
  1. 可控m序列产生器

    0下载:
  2. m序列产生器、控制器、码长选择器、码速率选择器。
  3. 所属分类:源码下载

    • 发布日期:2011-05-07
    • 文件大小:32532
    • 提供者:tecky029
  1. 码序列及相关.rar

    0下载:
  2. walsh码的生成程序,小m序列的生成程序,以及求序列之间周期和非周期相关函数,code generation process, small m sequence generation process and seek sequence between cycles and cycle-related function
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:1769
    • 提供者:杨元
  1. m

    0下载:
  2. M序列的源代码,用于基带信号发生器的设计 -M series source code for the base-band signal generator design
  3. 所属分类:assembly language

    • 发布日期:2017-03-23
    • 文件大小:11334
    • 提供者:张哲
  1. M-sequence-signal

    1下载:
  2. M序列信号算法仿真在系统辨识中有着很大的应用前景。多谐差相方法辨识精度很高有着很苛刻的要求,要求已知辨识参数个数已知并且需要整周截断。而M序列信号的测试方法没有这个方面的要求。其辨识未知模型时,可以用最小二乘算法观察辨识系统的精度来确定模型的阶次。本算法里面不但给出了用于M序列生成的本原多项式计算方法,同时编写了M序列信号的生成算法,并编写了相关辨识方法的算法。-M sequence signal simulation algorithm for identification in the sy
  3. 所属分类:matlab

    • 发布日期:2017-03-22
    • 文件大小:81694
    • 提供者:黄金峰
  1. m

    0下载:
  2. m序列信号发生器的设计,用于保密通信,和信息加密,属于流密码。-m sequence signal generator designed for confidential communications, and information encryption, part of stream ciphers.
  3. 所属分类:Communication

    • 发布日期:2017-03-22
    • 文件大小:151407
    • 提供者:yuhai
  1. m

    0下载:
  2. m序列生成文件,带有我自己写的仿真,结果在modelsim6.0f中生成正确。-m sequence generation file, written with my own simulation results generated in the modelsim6.0f correct.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:17545
    • 提供者:刘洪朋
  1. 基于MATLAB产生m序列

    0下载:
  2. MATLAB产生M序列程序源代码实验截图也有(MATLAB generate M sequence program source code)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:116736
    • 提供者:ACE..
  1. m序列PTS模块

    1下载:
  2. 基于OFDM设计M序列的PTS MATLAB实现(PTS MATLAB implementation of M sequence design based on OFDM)
  3. 所属分类:matlab例程

  1. M

    0下载:
  2. 数据通信 通过输入原始序列,输出 M序列,并且输出初始序列变化的过程(Data communication output M sequence through the original sequence)
  3. 所属分类:Windows编程

    • 发布日期:2018-01-08
    • 文件大小:527360
    • 提供者:Mihriban
  1. m序列的产生

    1下载:
  2. 1.使用Matlab设计m序列发生器。 2.使用生成多项式(23)8=(10011)2产生第一个m序列; 3.使用生成多项式(31)8=(11001)2产生第二个m序列。(1. Design an m-sequence generator using Matlab. 2. Generate the first m sequence using the generator polynomial (23)8=(10011)2; 3. Generate the second m-sequence us
  3. 所属分类:matlab例程

    • 发布日期:2020-12-23
    • 文件大小:22528
    • 提供者:songf
  1. m序列的特性&walsh码的产生及特性

    1下载:
  2. 1.使用Matlab对m序列特性进行研究; 2.使用Matlab产生walsh码,并对其特性进行研究。(1. Use Matlab to study the characteristics of the m sequence; 2. Use Matlab to generate walsh code, and study its characteristics.)
  3. 所属分类:其他

    • 发布日期:2020-05-27
    • 文件大小:1024
    • 提供者:songf
  1. m、gold序列自相关

    2下载:
  2. 生成m序列和gold序列的matlab代码,并比较他们的自相关、互相关特性。(Generate matlab code of m-sequence, and control the generation of m-sequence with specified requirements through input tap)
  3. 所属分类:通讯编程

« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com