CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl.rar

搜索资源列表

  1. VHDL-vga_core(vhdl)

    0下载:
  2. VHDL-vga_core(vhdl).rar FPGA上实现 VGA的IP(VHDL)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:458838
    • 提供者:nanotalk
  1. i2c总线的vhdl实现和vxworks的文件系统.rar

    1下载:
  2. i2c总线的vhdl实现和vxworks的文件系统,i2c bus VHDL realization and VxWorks file system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:16238
    • 提供者:李建平
  1. sdram_vhdl_lattice.rar

    0下载:
  2. lattice sdram 控制器VHDL源代码,Sound code of Lattice Sdram Controller based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:180044
    • 提供者:刘汉忠
  1. MiniStep.rar

    1下载:
  2. XC95144步进电机驱动器源码,采用verilog vhdl开发,个人原创,XC95144 stepper motor drive source, using verilog vhdl development, personal originality
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:4851377
    • 提供者:王明新
  1. stopwatch.rar

    0下载:
  2. 秒表可计时,用VHDL编译的源代码,从0.1到60秒计时,解压后直接用Quartus打开project即可,Stopwatch timer can be used to compile the VHDL source code, from 0.1 to 60 seconds from time, after extracting the direct use of Quartus can open the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:578005
    • 提供者:xie
  1. CPU_16.rar

    0下载:
  2. vhdl语言的16b cpu代码 全部的代码我会依次上传 另有说明txt文本,VHDL language 16b cpu code all the code I will upload the text otherwise stated txt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1471
    • 提供者:闵瑞鑫
  1. SPI.rar

    0下载:
  2. 用VHDL语言写出SPI,内含资料和代码,VHDL language used to write SPI, containing data and code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:49682
    • 提供者:th
  1. delay.rar

    0下载:
  2. 用vhdl的状态机实现精确的1us的延时程序,VHDL state machine used to achieve precise 1us delay procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1015
    • 提供者:yim
  1. mouse.rar

    0下载:
  2. 用VHDL编写的鼠标控制程序,经测试运行稳定,且容易修改,升级,VHDL prepared using the mouse control procedures, have been tested to run stable, and easy to modify, upgrade
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:2539
    • 提供者:李鑫
  1. vhdl.rar

    0下载:
  2. 一个很好用的串口的VHDL实现。。quartus2编译通过,Serial port with a very good realization of VHDL. . quartus2 compiled through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:4212
    • 提供者:hehe520
  1. DPLL(VHDL).rar

    0下载:
  2. 使用VHDL语言进行的数字锁相环的设计,里面有相关的文件,可以使用MUX+PLUS打开,The use of VHDL language of digital phase-locked loop design, there are relevant documents, you can use MUX+ PLUS Open
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:13717
    • 提供者:国家
  1. interleaver-vhdl.rar

    1下载:
  2. VHDL编写的基于FPGA的4-8交织器代码,有需要的下来看看,4-8 prepared VHDL code interleaver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1446
    • 提供者:cab
  1. ofdm-vhdl.rar

    2下载:
  2. ofdm的VHDL实现,包括fft,ifft,串并变换等,附详细说明文档,ofdm realization of VHDL, including the fft, ifft, such as string and transform, with detailed descr iption of the document
  3. 所属分类:3G develop

    • 发布日期:2016-08-16
    • 文件大小:173275
    • 提供者:陈娟
  1. VHDL.rar

    0下载:
  2. 正弦信号发生器具有频率调节功能。采用VHDL编程实现。,Sinusoidal signal generator with a frequency adjustment function. Using VHDL programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1482420
    • 提供者:朱广利
  1. vhdl.rar

    0下载:
  2. 该pdf 详细的介绍了 浮点小数的计算法则,和在vhdl程序中 浮点小数的表示方法,和乘除法的运用 希望对大家有用,The pdf in detail the calculation of the decimal floating-point rules, and procedures in vhdl decimal floating-point method, and the use of multiplication and division for all of us hope tha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:207473
    • 提供者:gjp_rain
  1. vga_core(vhdl).rar

    0下载:
  2. vga视频输出(vhdl),主要是从sdram中产生图形,输出到vga中,vga video outputs [vhdl], mainly arising from the SDRAM graphics, output to vga Medium
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:459609
    • 提供者:程荣
  1. motorcontrol(vhdl).rar

    1下载:
  2. 基于FPGA的直电机伺服系统的设计的代码,VHDL语言。包括前馈控制,AD1674控制模块,ADC0809控制模块,前馈控制模块,分频模块等。,FPGA-based servo system direct the design of the electrical code, VHDL language. Including feed-forward control, AD1674 control module, ADC0809 control module, feed-forward contr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:6456
    • 提供者:dong
  1. VHDL.rar

    1下载:
  2. 4*4键盘扫描的VHDL程序,可消除抖动,可以帮助大家一下,4* 4 keyboard scan VHDL procedures to eliminate jitter, we can help you
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:3935
    • 提供者:孙仲
  1. VHDL

    0下载:
  2. 采用VHDL语言设计一个4通道的数据采集控制模块。系统的功能描述如下: 1.系统主时钟为100 MHz。 2.数据为16位-数据线上连续2次00FF后数据传输开始。 3.系统内部总线宽度为8位。 4.共有4个通道(ch1、ch2、ch3、ch4),每个通道配备100 Bytes的RAM,当存满数据后停止数据采集并且相应通道的状态位产生报警信号。 5.数据分为8位串行输出,输出时钟由外部数据读取电路给出。 6.具备显示模块驱动功能。由SEL信号设置显示的通道,DISPLAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5783271
    • 提供者:pengfu
  1. Digital System Design with VHDL

    0下载:
  2. Digital System Design with VHDL.rar
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:44791808
    • 提供者:commando
« 12 3 4 5 6 7 8 9 10 ... 22 »
搜珍网 www.dssz.com