CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 -

搜索资源列表

  1. 数字边沿鉴相器.zip

    0下载:
  2. 所属分类:数值算法/人工智能

    • 发布日期:
    • 文件大小:8496
    • 提供者:
  1. 148个verilog hdl小程序(有很多testbench)——.rar

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:55801
    • 提供者:
  1. 91516677.zip

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:3360
    • 提供者:
  1. uart 源码 (VHDL).zip

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:10750
    • 提供者:
  1. spicore.zip

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:13587
    • 提供者:
  1. crc_verilog_xilinx.zip

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:10439
    • 提供者:
  1. rtl

    0下载:
  2. 用verilog编写的网卡芯片rtl级。前仿后仿都通过了,可以在modelsim上运行察看-verilogrtl After the former imitation through imitation, it can run on the look modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:93845
    • 提供者:刘吉
  1. 结合XILINXCPLD RS232通信(verilog)

    0下载:
  2. 结合XILINXCPLD所做的模拟RS232通信verilog源程序-XILINXCPLD combine the simulation RS232 communication Verilog source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:122378
    • 提供者:于飞
  1. 能综合的YCrCb2RGB模块(verilog)_采用3级流水线

    1下载:
  2. 能综合的YCrCb2RGB模块(verilog)_采用3级流水线,用fpga做小数运算,还有就是流水线技术 -can YCrCb2RGB integrated module (Verilog) _ used three lines, they simply do with fractional arithmetic, there is pipelining technology
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1080
    • 提供者:于飞
  1. FFT16

    4下载:
  2. 基于FPGA的16点FFT快速傅立叶变换的Verilog源代码。-the FFT implement of Verilog based on FPGA
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2282
    • 提供者:lsd
  1. atahost_wb_slave

    0下载:
  2. 硬盘控制程序代码 硬盘控制器代码编写,可以直接使用-drive control code prepared hard disk controller code can be used directly! !
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:4144
    • 提供者:小的于
  1. CORDIC

    1下载:
  2. 用verilog写的CORDIC算法实现,很适合做超越函数的运算。通常用于实现正弦乘法,或者坐标变换。-The cordic arithmetic implemented by verilog is adapted to exceed function.It is usually used to implement sine multiplication or coordinate tuansform.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4108
    • 提供者:diskmps
  1. and_or

    0下载:
  2. veilog 代码 用户可以直接调用,作为底层模块。同时已经编译成功,可以作为基本单元库。-veilog code user can derict use it for the base mode.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3531
    • 提供者:宋昆仑
  1. arbit

    0下载:
  2. verilog 代码. 经验证成功,可以作为标准单元库,为FPGA设计者使用.-Verilog code. Certified success, as a standard cell library for the use of FPGA designers.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5886
    • 提供者:宋昆仑
  1. backward

    0下载:
  2. verilog 代码. 经验证成功,可以作为标准单元库,为FPGA设计者使用.-Verilog code. Certified success, as a standard cell library for the use of FPGA designers.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3387
    • 提供者:宋昆仑
  1. bidir

    0下载:
  2. verilog 代码. 经验证成功,可以作为标准单元库,为FPGA设计者使用.-Verilog code. Certified success, as a standard cell library for the use of FPGA designers.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3856
    • 提供者:宋昆仑
  1. bin2gry

    0下载:
  2. verilog 代码. 经验证成功,可以作为标准单元库,为FPGA设计者使用.-Verilog code. Certified success, as a standard cell library for the use of FPGA designers.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4092
    • 提供者:宋昆仑
  1. Verilog_EXAMPLE

    0下载:
  2. DesignWave 2005 8 Verilog Example -Design Wave Verilog Example
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:383347
    • 提供者:sky
  1. alu64_struct

    0下载:
  2. 六十四位ALU设计源代码,可实现加减,逻辑与,或等多种功能。-64 ALU design source code can be modified to achieve, and logic, or other functions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1208
    • 提供者:李宁
  1. MD5(verilog)

    1下载:
  2. MD5算法的verilog实现,同时包含有testbench。-Verilog of MD5 algorithm is realized, includes testbench at the same time .
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:4806
    • 提供者:张雷
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com