CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载

资源列表

« 1 2 ... .60 .61 .62 .63 .64 184665.66 .67 .68 .69 .70 ... 199238 »
  1. shiboqi

    1下载:
  2. cvi编写的示波器程序,基本功能全部实现-the cvi oscilloscope, the basic functions to achieve full
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:6439
    • 提供者:高槊
  1. virtual_MUSIC

    1下载:
  2. 虚拟阵列扩展的MUSIC算法,采用前后向平移-virtual array extension MUSIC
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1271
    • 提供者:zhaipeng
  1. Fractional-Fourier-Transform

    1下载:
  2. 分数阶傅立叶变换,可用于LFM信号的相干源信号的估计-Fractional Fourier Transform can be used for LFM signal of the coherent source signal estimate
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:12380
    • 提供者:zhaipeng
  1. Pb5

    1下载:
  2. 明华敖汉urf-r330接口代码该Demo读写器适用型号:URF-R330、HRF-35LT-Ming Wah Aohan urf-r330 interface code
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:18137
    • 提供者:hk20062007
  1. VB5.0

    1下载:
  2. 明华敖汉urf-r330接口代码 该Demo读写器适用型号:URF-R330、HRF-35LT-Ming Wah Aohan urf-r330 interface code
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:4542
    • 提供者:hk20062007
  1. VC6.0

    1下载:
  2. 明华敖汉urf-r330接口代码,该Demo读写器适用型号:URF-R330、HRF-35LT-Ming Wah Aohan urf-r330 interface code, the Demo reader for Model: URF-R330, the HRF-35LT
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:155663
    • 提供者:hk20062007
  1. Vfp

    1下载:
  2. 明华敖汉urf-r330接口代码,该Demo读写器适用型号:URF-R330、HRF-35LT-Ming Wah Aohan urf-r330 interface code, the Demo reader for Model: URF-R330, the HRF-35LT
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:8087
    • 提供者:hk20062007
  1. FanucGear

    1下载:
  2. Fanuc Focas implementing
  3. 所属分类:Other systems

    • 发布日期:2015-02-27
    • 文件大小:267264
    • 提供者:veli duz
  1. matlab_digitalsignalmodulationrec

    1下载:
  2. matlab中有关调制识别的程序说明,对仿真识别很有帮助-In MATLAB on modulation recognition program, very helpful for simulation and identification
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1357574
    • 提供者:连尧宁
  1. guanyili

    1下载:
  2. C++实现广义逆矩阵求解,对所有奇异和非奇异矩阵都可以-C++ implementation of the generalized inverse matrix to solve
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:3776
    • 提供者:飞龙在天
  1. UsbBooter-Tool

    1下载:
  2. 采用AU3编写的U盘启动盘制作工具,功能不多,但制作出来的U盘启动盘防格防毒。-AU3 write U disk boot disk creation tools, not many functions, but made ​ ​ out of U disk boot disk anti-cell anti-virus.
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-31
    • 文件大小:171920
    • 提供者:yang
  1. 44

    1下载:
  2. 易语言PE文件资源查看源码,很不错的易语言源码,适合易语言爱好者学习。-PE file resources in the Yi language looking at the source, very good source of easy language, suitable for easy language enthusiasts to learn.
  3. 所属分类:其他小程序

    • 发布日期:2017-04-02
    • 文件大小:244349
    • 提供者:fly5
« 1 2 ... .60 .61 .62 .63 .64 184665.66 .67 .68 .69 .70 ... 199238 »
搜珍网 www.dssz.com