CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 33645 »
  1. BusDelay

    0下载:
  2. buffer delay vhdl model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:517
    • 提供者:gnomix
  1. xj2

    0下载:
  2. 基于FPGA,利用VHDL语言对小车循迹进行设计。-Car tracking
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:517
    • 提供者:trees
  1. random1

    0下载:
  2. Random binary sequence generator using four flip-flops. It does not require any external input except clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:517
    • 提供者:zpatel
  1. pso-vhdl6

    0下载:
  2. i want verilogHDL and VHDL source coding.please help me-i want verilogHDL and VHDL source coding.please help me.....
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:517
    • 提供者:a.deivaseelan
  1. leds

    0下载:
  2. 8051 that I use to test outputs of AT89S52 pins
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-07
    • 文件大小:517
    • 提供者:ssbljk
  1. 20121010-1701

    0下载:
  2. 上位机,所有控件的时机使用情况,看了就会明白-Epistatic machine, all control time use, see the will understand
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-04
    • 文件大小:517
    • 提供者:启神001
  1. state_machin_VHDL

    0下载:
  2. Introducing BB FlashBack BB FlashBack is a screen recorder - it makes movies of what you see on your PC screen.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:517
    • 提供者:ali
  1. sign_det

    0下载:
  2. 此程序为符号检测的VHDL程序,用于检测输入数据的最高位符号。-This program is a symbol detection VHDL program for detecting the most significant bit of input data symbols.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:517
    • 提供者:chenjinhao
  1. filter

    0下载:
  2. 设计汉宁FIR低通滤波器,规格如下:通带边缘频率=2kHz的,阻带边缘频率=2.5KHZ,通带波纹δP=0.005,阻带波纹δs=0.005,和10kHz的采样率。-Design a Hanning FIR lowpass filter meeting the following specifications: passband edge frequency=2kHz, stopband edge frequency=2.5kHz, passband ripple δp=0.005, stopb
  3. 所属分类:DSP program

    • 发布日期:2017-04-12
    • 文件大小:517
    • 提供者:李银娟
  1. dongtaisaomiao

    0下载:
  2. 数码管使用动态扫描显示,所以可以同时看到数码管同时显示数字或者字符-Digital control using dynamic scanning display, so you can see both at the same time digital display numbers or characters
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:517
    • 提供者:super
  1. 2

    0下载:
  2. 16位高速数字相关器,简单易学,十分适合初学者使用-16-bit high-speed digital correlator, easy to learn, very suitable for beginners to use
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:518
    • 提供者:孔祥
  1. HT66F50_test_led

    0下载:
  2. 这是一个有关盛群单片机HTC66F50的流水灯程序-This is the Holtek the microcontroller HTC66F50 the water cha
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:518
    • 提供者:mirxie
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 33645 »
搜珍网 www.dssz.com