CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 4322 »
  1. CRC校验参考设计_xilinx_vhdl

    0下载:
  2. 可配置CRC参考设计 xilinx提供的VHDL-configurable CRC reference design for Xilinx VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:49875
    • 提供者:陈旭
  1. ddr_verilog_xilinx

    1下载:
  2. DDR(双速率)SDRAM控制器参考设计,xilinx提供-DDR (double data rate) SDRAM controller reference design for Xilinx
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:131327
    • 提供者:陈旭
  1. dds_quicklogic

    0下载:
  2. 直接频率合成,Quicklogic提供,部分源文件是Quicklogic 专用文件-direct frequency synthesis, pioneered provide some source document is dedicated ESP
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:68450
    • 提供者:陈旭
  1. I2C总线控制器 altera提供-VHDL

    0下载:
  2. I2C总线控制器 altera提供的VHDL的源程序代码-I2C Bus Controller ALTERA the VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1639890
    • 提供者:陈旭
  1. PCI总线仲裁参考设计,Quicklogic提供

    0下载:
  2. PCI总线仲裁参考设计,Quicklogic提供的verilog代码-PCI bus arbitration reference design, pioneered the Verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3480
    • 提供者:陈旭
  1. PLD与8051接口的参考设计 Xilinx提供_vhdl

    0下载:
  2. PLD与8051接口的参考设计 Xilinx提供的verilog源代码-PLD 8051 interface with the Xilinx Reference Design for the Verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60288
    • 提供者:陈旭
  1. ZBT SRAM控制器参考设计_verilog_xilinx

    0下载:
  2. ZBT SRAM控制器参考设计,xilinx提供,(ZBT SRAM是一种高速同步SRAM)-ZBT SRAM controller reference design for Xilinx (ZBT SRAM, a high-speed synchronous SRAM)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36470
    • 提供者:陈旭
  1. ZBT SRAM控制器参考设计vhdl_xilinx

    0下载:
  2. ZBT SRAM控制器参考设计,xilinx提供的VHDL源代码-ZBT SRAM controller reference design for Xilinx VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9220
    • 提供者:陈旭
  1. 标准SDR SDRAM控制器参考设计_verilog_lattice

    3下载:
  2. 标准SDR SDRAM控制器参考设计,Lattice提供的verilog源代码-standard SDR SDRAM controller reference design, the Lattice Verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:204299
    • 提供者:陈旭
  1. 曼彻斯特编解码 Xilinx提供_vhdl

    0下载:
  2. 曼彻斯特编解码 Xilinx提供的VHDL的源代码-Manchester codec Xilinx provide VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:10605
    • 提供者:陈旭
  1. 一个8位CISC结构的精简CPU

    1下载:
  2. 一个8位CISC结构的精简CPU,2还提供了编译器-an eight streamline the structure of the CISC CPU, the two also provided compiler
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:94838
    • 提供者:陈旭
  1. USB接口控制器参考设计_xilinx提供_vhdl

    0下载:
  2. USB接口控制器参考设计,xilinx提供的VHDL源代码-USB interface controller reference design for Xilinx VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:461172
    • 提供者:陈旭
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 4322 »
搜珍网 www.dssz.com