CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:FFT

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    7.06mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

8 point FFT written in Verilog
(系统自动生成,下载前可以参看下载内容)

下载文件列表

add_sub.v
add_sub.v.bak
add_sub_bb.v
add_sub_wave0.jpg
add_sub_waveforms.html
add_wave0.jpg
add_waveforms.html
bfproc.v
bfproc.v.bak
bfproc_test.vwf
ccmul.v
ccmul.v.bak
fft.asm.rpt
fft.done
fft.eda.rpt
fft.fit.rpt
fft.fit.summary
fft.flow.rpt
fft.map.rpt
fft.map.summary
fft.pin
fft.pof
fft.qpf
fft.qsf
fft.qws
fft.sim.rpt
fft.sof
fft.tan.rpt
fft.tan.summary
fft.v
fft.v.bak
fft8_final_test.vwf
fft8_final_test_99.vwf
fft8_final_test_99.vwf.bak
fft8_stage1_test.vwf
fft8_stage2_test.vwf
fft_test.vwf
imag_input.txt
mega_fft.bsf
mega_fft.html
mega_fft.qip
mega_fft.v
mega_fft_1n1024cos.hex
mega_fft_1n1024sin.hex
mega_fft_2n1024cos.hex
mega_fft_2n1024sin.hex
mega_fft_3n1024cos.hex
mega_fft_3n1024sin.hex
mega_fft_bb.v
mega_fft_model.m
mega_fft_nativelink.tcl
mega_fft_tb.m
mega_fft_tb.v
mega_fft_tb.vhd
mult.qip
mult.v
mult.v.bak
mult_bb.v
mult_wave0.jpg
mult_waveforms.html
real_input.txt
stage.v
stage.v.bak
stage1.v
stage1.v.bak
test.v
test.v.bak
velocity.log
db
db/add_sub_20a.tdf
db/add_sub_6se.tdf
db/add_sub_7te.tdf
db/add_sub_9bg.tdf
db/add_sub_ajf.tdf
db/add_sub_ocg.tdf
db/add_sub_ore.tdf
db/fft.(0).cnf.cdb
db/fft.(0).cnf.hdb
db/fft.(1).cnf.cdb
db/fft.(1).cnf.hdb
db/fft.(10).cnf.cdb
db/fft.(10).cnf.hdb
db/fft.(11).cnf.cdb
db/fft.(11).cnf.hdb
db/fft.(12).cnf.cdb
db/fft.(12).cnf.hdb
db/fft.(13).cnf.cdb
db/fft.(13).cnf.hdb
db/fft.(2).cnf.cdb
db/fft.(2).cnf.hdb
db/fft.(3).cnf.cdb
db/fft.(3).cnf.hdb
db/fft.(4).cnf.cdb
db/fft.(4).cnf.hdb
db/fft.(5).cnf.cdb
db/fft.(5).cnf.hdb
db/fft.(6).cnf.cdb
db/fft.(6).cnf.hdb
db/fft.(7).cnf.cdb
db/fft.(7).cnf.hdb
db/fft.(8).cnf.cdb
db/fft.(8).cnf.hdb
db/fft.(9).cnf.cdb
db/fft.(9).cnf.hdb
db/fft.ae.hdb
db/fft.asm.qmsg
db/fft.asm.rdb
db/fft.asm_labs.ddb
db/fft.cbx.xml
db/fft.cmp.bpm
db/fft.cmp.cdb
db/fft.cmp.ecobp
db/fft.cmp.hdb
db/fft.cmp.kpt
db/fft.cmp.logdb
db/fft.cmp.rdb
db/fft.cmp.tdb
db/fft.cmp0.ddb
db/fft.cmp2.ddb
db/fft.cmp_merge.kpt
db/fft.db_info
db/fft.eco.cdb
db/fft.eda.qmsg
db/fft.eds_overflow
db/fft.fit.qmsg
db/fft.fnsim.cdb
db/fft.fnsim.hdb
db/fft.fnsim.qmsg
db/fft.hier_info
db/fft.hif
db/fft.lpc.html
db/fft.lpc.rdb
db/fft.lpc.txt
db/fft.map.bpm
db/fft.map.cdb
db/fft.map.ecobp
db/fft.map.hdb
db/fft.map.kpt
db/fft.map.logdb
db/fft.map.qmsg
db/fft.map_bb.cdb
db/fft.map_bb.hdb
db/fft.map_bb.logdb
db/fft.pre_map.cdb
db/fft.pre_map.hdb
db/fft.rpp.qmsg
db/fft.rtlv.hdb
db/fft.rtlv_sg.cdb
db/fft.rtlv_sg_swap.cdb
db/fft.sgate.rvd
db/fft.sgate_sm.rvd
db/fft.sgdiff.cdb
db/fft.sgdiff.hdb
db/fft.sim.hdb
db/fft.sim.qmsg
db/fft.sim.rdb
db/fft.simfam
db/fft.sim_ori.vwf
db/fft.sld_design_entry.sci
db/fft.sld_design_entry_dsc.sci
db/fft.smart_action.txt
db/fft.syn_hier_info
db/fft.tan.qmsg
db/fft.tis_db_list.ddb
db/logic_util_heursitic.dat
db/mult_3mm.tdf
db/mult_91n.tdf
db/mult_edj.tdf
db/mult_i4f.tdf
db/prev_cmp_fft.asm.qmsg
db/prev_cmp_fft.eda.qmsg
db/prev_cmp_fft.fit.qmsg
db/prev_cmp_fft.map.qmsg
db/prev_cmp_fft.qmsg
db/prev_cmp_fft.sim.qmsg
db/prev_cmp_fft.tan.qmsg
db/wed.wsf
fft-library
fft-library/asj_fft_1dp_ram_fft_91.vhd
fft-library/asj_fft_1tdp_rom_fft_91.vhd
fft-library/asj_fft_3dp_rom_fft_91.vhd
fft-library/asj_fft_3pi_mram_fft_91.vhd
fft-library/asj_fft_3tdp_rom_fft_91.vhd
fft-library/asj_fft_4dp_ram_fft_91.vhd
fft-library/asj_fft_6tdp_rom_fft_91.vhd
fft-library/asj_fft_alt_shift_tdl_fft_91.vhd
fft-library/asj_fft_bfp_ctrl_fft_91.vhd
fft-library/asj_fft_bfp_i_1pt_fft_91.vhd
fft-library/asj_fft_bfp_i_fft_91.vhd
fft-library/asj_fft_bfp_o_1pt_fft_91.vhd
fft-library/asj_fft_bfp_o_fft_91.vhd
fft-library/asj_fft_burst_ctrl_de_fft_91.vhd
fft-library/asj_fft_burst_ctrl_fft_91.vhd
fft-library/asj_fft_burst_ctrl_qe_fft_91.vhd
fft-library/asj_fft_cmult_can_fft_91.vhd
fft-library/asj_fft_cmult_std_fft_91.vhd
fft-library/asj_fft_cnt_ctrl_de_fft_91.vhd
fft-library/asj_fft_cnt_ctrl_fft_91.vhd
fft-library/asj_fft_cxb_addr_fft_91.vhd
fft-library/asj_fft_cxb_data_fft_91.vhd
fft-library/asj_fft_cxb_data_mram_fft_91.vhd
fft-library/asj_fft_cxb_data_r_fft_91.vhd
fft-library/asj_fft_dataadgen_fft_91.vhd
fft-library/asj_fft_data_ram_dp_fft_91.vhd
fft-library/asj_fft_data_ram_fft_91.vhd
fft-library/asj_fft_dft_bfp_fft_91.vhd
fft-library/asj_fft_dft_bfp_sgl_fft_91.vhd
fft-library/asj_fft_dpi_mram_fft_91.vhd
fft-library/asj_fft_dp_mram_fft_91.vhd
fft-library/asj_fft_dualstream_fft_91.ocp
fft-library/asj_fft_dualstream_fft_91.vhd
fft-library/asj_fft_in_write_sgl_fft_91.vhd
fft-library/asj_fft_lcm_mult_2m_fft_91.vhd
fft-library/asj_fft_lcm_mult_fft_91.vhd
fft-library/asj_fft_lpprdadgen_fft_91.vhd
fft-library/asj_fft_lpprdadr2gen_fft_91.vhd
fft-library/asj_fft_lpp_fft_91.vhd
fft-library/asj_fft_lpp_serial_fft_91.vhd
fft-library/asj_fft_lpp_serial_r2_fft_91.vhd
fft-library/asj_fft_mult_add_fft_91.vhd
fft-library/asj_fft_m_k_counter_fft_91.vhd
fft-library/asj_fft_pround_fft_91.vhd
fft-library/asj_fft_sglstream_fft_91.ocp
fft-library/asj_fft_sglstream_fft_91.vhd
fft-library/asj_fft_si_de_so_bb_fft_91.ocp
fft-library/asj_fft_si_de_so_bb_fft_91.vhd
fft-library/asj_fft_si_de_so_b_fft_91.ocp
fft-library/asj_fft_si_de_so_b_fft_91.vhd
fft-library/asj_fft_si_qe_so_bb_fft_91.ocp
fft-library/asj_fft_si_qe_so_bb_fft_91.vhd
fft-librar

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com