CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 软件工程

文件名称:process-simulation

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    1.22mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

20多个FPGA设计实例(程序+仿真图),包含LED控制,LCD控制,出租车计价器VHDL程序与仿真,波形发生程序,步进电机定位控制系统VHDL程序与仿真等等,VHDL语言编译。-More than 20 instances of FPGA design (process+ simulation map), contains the LED control, LCD control, taxi meter VHDL procedures and simulation, waveform process, stepping motor position control system and simulation of VHDL procedures and so on, VHDL compiler.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.10 FSK调制与解调VHDL程序及仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.11 PSK调制与解调VHDL程序及仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.12 MASK调制VHDL程序及仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.13 MFSK调制VHDL程序及仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.14 MPSK调制与解调VHDL程序与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.15 基带码发生器程序设计与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.16 频率计程序设计与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.17 采用等精度测频原理的频率计程序与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.18 电子琴程序设计与仿真 2004.8修改.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.18 电子琴程序设计与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.19 电梯控制器程序设计与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.2 LED控制VHDL程序与仿真 2004.8修改.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.2 LED控制VHDL程序与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.20 电子时钟VHDL程序与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.21 自动售货机VHDL程序与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.22 出租车计价器VHDL程序与仿真 2004.8修改.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.22 出租车计价器VHDL程序与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.23 波形发生程序.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.24 步进电机定位控制系统VHDL程序与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.3 LCD控制VHDL程序与仿真 2004.8修改.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.3 LCD控制VHDL程序与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.4 ADC0809 VHDL控制程序.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.5 TLC5510 VHDL控制程序.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.6 DAC0832 接口电路程序.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.7 TLC7524接口电路程序.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.8 URAT VHDL程序与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/8.9 ASK调制与解调VHDL程序及仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)/~$16 频率计程序设计与仿真.doc
20多个 FPGA设计实例(程序+仿真图)/FPGA设计实例(程序+仿真图)
20多个 FPGA设计实例(程序+仿真图)

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com