CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程

文件名称:clockyzl

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    1.15mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

vhdl语言,实现数字钟的设计,用component实现-vhdl languages, digital clock design, component achievement
(系统自动生成,下载前可以参看下载内容)

下载文件列表

clock/alert.asm.rpt
clock/alert.bsf
clock/alert.done
clock/alert.fit.eqn
clock/alert.fit.rpt
clock/alert.fit.summary
clock/alert.flow.rpt
clock/alert.map.eqn
clock/alert.map.rpt
clock/alert.map.summary
clock/alert.pin
clock/alert.pof
clock/alert.qpf
clock/alert.qsf
clock/alert.qws
clock/alert.sof
clock/alert.tan.rpt
clock/alert.tan.summary
clock/alert.vhd
clock/clock.asm.rpt
clock/clock.bdf
clock/clock.done
clock/clock.fit.eqn
clock/clock.fit.rpt
clock/clock.fit.summary
clock/clock.flow.rpt
clock/clock.map.eqn
clock/clock.map.rpt
clock/clock.map.summary
clock/clock.pin
clock/clock.pof
clock/clock.qpf
clock/clock.qsf
clock/clock.qws
clock/clock.sim.rpt
clock/clock.sof
clock/clock.tan.rpt
clock/clock.tan.summary
clock/clock.vwf
clock/clock_top.asm.rpt
clock/clock_top.done
clock/clock_top.fit.eqn
clock/clock_top.fit.rpt
clock/clock_top.fit.summary
clock/clock_top.flow.rpt
clock/clock_top.map.eqn
clock/clock_top.map.rpt
clock/clock_top.map.summary
clock/clock_top.pin
clock/clock_top.pof
clock/clock_top.qpf
clock/clock_top.qsf
clock/clock_top.qws
clock/clock_top.sof
clock/clock_top.tan.rpt
clock/clock_top.tan.summary
clock/clock_top.vhd
clock/db/add_sub_dnh.tdf
clock/db/add_sub_enh.tdf
clock/db/alert.(0).cnf.cdb
clock/db/alert.(0).cnf.hdb
clock/db/alert.asm.qmsg
clock/db/alert.cbx.xml
clock/db/alert.cmp.cdb
clock/db/alert.cmp.hdb
clock/db/alert.cmp.qrpt
clock/db/alert.cmp.rdb
clock/db/alert.cmp.tdb
clock/db/alert.cmp0.ddb
clock/db/alert.dbp
clock/db/alert.db_info
clock/db/alert.eco.cdb
clock/db/alert.fit.qmsg
clock/db/alert.hier_info
clock/db/alert.hif
clock/db/alert.map.cdb
clock/db/alert.map.hdb
clock/db/alert.map.qmsg
clock/db/alert.pre_map.cdb
clock/db/alert.pre_map.hdb
clock/db/alert.psp
clock/db/alert.rtlv.hdb
clock/db/alert.rtlv_sg.cdb
clock/db/alert.rtlv_sg_swap.cdb
clock/db/alert.sgdiff.cdb
clock/db/alert.sgdiff.hdb
clock/db/alert.sld_design_entry.sci
clock/db/alert.sld_design_entry_dsc.sci
clock/db/alert.syn_hier_info
clock/db/alert.tan.qmsg
clock/db/clock.(0).cnf.cdb
clock/db/clock.(0).cnf.hdb
clock/db/clock.(1).cnf.cdb
clock/db/clock.(1).cnf.hdb
clock/db/clock.(10).cnf.cdb
clock/db/clock.(10).cnf.hdb
clock/db/clock.(11).cnf.cdb
clock/db/clock.(11).cnf.hdb
clock/db/clock.(12).cnf.cdb
clock/db/clock.(12).cnf.hdb
clock/db/clock.(13).cnf.cdb
clock/db/clock.(13).cnf.hdb
clock/db/clock.(2).cnf.cdb
clock/db/clock.(2).cnf.hdb
clock/db/clock.(3).cnf.cdb
clock/db/clock.(3).cnf.hdb
clock/db/clock.(4).cnf.cdb
clock/db/clock.(4).cnf.hdb
clock/db/clock.(5).cnf.cdb
clock/db/clock.(5).cnf.hdb
clock/db/clock.(6).cnf.cdb
clock/db/clock.(6).cnf.hdb
clock/db/clock.(7).cnf.cdb
clock/db/clock.(7).cnf.hdb
clock/db/clock.(8).cnf.cdb
clock/db/clock.(8).cnf.hdb
clock/db/clock.(9).cnf.cdb
clock/db/clock.(9).cnf.hdb
clock/db/clock.asm.qmsg
clock/db/clock.cbx.xml
clock/db/clock.cmp.cdb
clock/db/clock.cmp.hdb
clock/db/clock.cmp.qrpt
clock/db/clock.cmp.rdb
clock/db/clock.cmp.tdb
clock/db/clock.cmp0.ddb
clock/db/clock.dbp
clock/db/clock.db_info
clock/db/clock.eco.cdb
clock/db/clock.eds_overflow
clock/db/clock.fit.qmsg
clock/db/clock.hier_info
clock/db/clock.hif
clock/db/clock.map.cdb
clock/db/clock.map.hdb
clock/db/clock.map.qmsg
clock/db/clock.pre_map.cdb
clock/db/clock.pre_map.hdb
clock/db/clock.psp
clock/db/clock.rtlv.hdb
clock/db/clock.rtlv_sg.cdb
clock/db/clock.rtlv_sg_swap.cdb
clock/db/clock.sgdiff.cdb
clock/db/clock.sgdiff.hdb
clock/db/clock.sim.hdb
clock/db/clock.sim.qmsg
clock/db/clock.sim.qrpt
clock/db/clock.sim.rdb
clock/db/clock.sim.vwf
clock/db/clock.sld_design_entry.sci
clock/db/clock.sld_design_entry_dsc.sci
clock/db/clock.syn_hier_info
clock/db/clock.tan.qmsg
clock/db/clock_top.(0).cnf.cdb
clock/db/clock_top.(0).cnf.hdb
clock/db/clock_top.(1).cnf.cdb
clock/db/clock_top.(1).cnf.hdb
clock/db/clock_top.(10).cnf.cdb
clock/db/clock_top.(10).cnf.hdb
clock/db/clock_top.(11).cnf.cdb
clock/db/clock_top.(11).cnf.hdb
clock/db/clock_top.(12).cnf.cdb
clock/db/clock_top.(12).cnf.hdb
clock/db/clock_top.(13).cnf.cdb
clock/db/clock_top.(13).cnf.hdb
clock/db/clock_top.(14).cnf.cdb
clock/db/clock_top.(14).cnf.hdb
clock/db/clock_top.(15).cnf.cdb
clock/db/clock_top.(15).cnf.hdb
clock/db/clock_top.(16).cnf.cdb
clock/db/clock_top.(16).cnf.hdb
clock/db/clock_top.(17).cnf.cdb
clock/db/clock_top.(17).cnf.hdb
clock/db/clock_top.(18).cnf.cdb
clock/db/clock_top.(18).cnf.hdb
clock/db/clock_top.(19).cnf.cdb
clock/db/clock_top.(19).cnf.hdb
clock/db/clock_top.(2).cnf.cdb
clock/db/clock_top.(2).cnf.hdb
clock/db/clock_top.(3).cnf.cdb
clock/db/clock_top.(3).cnf.hdb
clock/db/clock_top.(4).cnf.cdb
clock/db/clock_top.(4).cnf.hdb
clock/db/clock_top.(5).cnf.cdb
clock/db/clock_top.(5).cnf.hdb
clock/db/clock_top.(6).cnf.cdb
clock/db/clock_top.(6).cnf.hdb
clock/db/clock_top.(7).cnf.cdb
clock/db/clock_top.(7).cnf.hdb
clock/db/clock_top.(8).cnf.cdb
clock/db/clock_top.(8).cnf.hdb
clock/db/clock_top.(9).cnf.cdb
clock/db/clock_top.(9).cnf.hdb
clock/db/clock_top.asm.qmsg
clock/db/clock_top.cbx.xml
clock/db/clock_top.cmp.cdb
clock/db/clock_top.cmp.hdb
clock/db/clock_top.cmp.qrpt
clock/db/clock_top.cmp.rdb
clock/db/clock_top.cmp.tdb
clock/db/clock_top.cmp0.ddb
clock/db/clock_top.dbp
c

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com