CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:alarm

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    702.01kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

用Verilog语言描述一个定时器的设计,该定时器具有闹表,定时,和正常时间显示的功能- It designs a clock by Verilog
(系统自动生成,下载前可以参看下载内容)

下载文件列表

alarm/alarm_block.v
alarm/alarm_block.v.bak
alarm/alarm_counter.v
alarm/alarm_counter.v.bak
alarm/alarm_jh.cr.mti
alarm/alarm_jh.mpf
alarm/alarm_sm_2.v
alarm/alarm_sm_2.v.bak
alarm/alarm_state_machine.v
alarm/alarm_state_machine.v.bak
alarm/comparator.v
alarm/comparator.v.bak
alarm/convertor.v
alarm/convertor.v.bak
alarm/convertor_ckt.v
alarm/convertor_ckt.v.bak
alarm/lab/ALARM_BLOCK.v
alarm/lab/ALARM_COUNTER.v
alarm/lab/ALARM_SM_2.v
alarm/lab/ALARM_STATE_MACHINE.v
alarm/lab/COMPARATOR.v
alarm/lab/CONVERTOR.pla
alarm/lab/CONVERTOR_CKT.v
alarm/lab/HOURS_FILTER.v
alarm/lab/lab.cr.mti
alarm/lab/lab.mpf
alarm/lab/mux.v
alarm/lab/mux.v.bak
alarm/lab/TIME_BLOCK.v
alarm/lab/TIME_COUNTER.v
alarm/lab/TIME_STATE_MACHINE.v
alarm/lab/top.v
alarm/lab/work/@a@l@a@r@m_@s@m_2/verilog.psm
alarm/lab/work/@a@l@a@r@m_@s@m_2/_primary.dat
alarm/lab/work/@a@l@a@r@m_@s@m_2/_primary.dbs
alarm/lab/work/@a@l@a@r@m_@s@m_2/_primary.vhd
alarm/lab/work/@c@o@m@p@a@r@a@t@o@r/verilog.psm
alarm/lab/work/@c@o@m@p@a@r@a@t@o@r/_primary.dat
alarm/lab/work/@c@o@m@p@a@r@a@t@o@r/_primary.dbs
alarm/lab/work/@c@o@m@p@a@r@a@t@o@r/_primary.vhd
alarm/lab/work/@c@o@n@v@e@r@t@o@r_@c@k@t/verilog.psm
alarm/lab/work/@c@o@n@v@e@r@t@o@r_@c@k@t/_primary.dat
alarm/lab/work/@c@o@n@v@e@r@t@o@r_@c@k@t/_primary.dbs
alarm/lab/work/@c@o@n@v@e@r@t@o@r_@c@k@t/_primary.vhd
alarm/lab/work/@h@o@u@r@s_@f@i@l@t@e@r/verilog.psm
alarm/lab/work/@h@o@u@r@s_@f@i@l@t@e@r/_primary.dat
alarm/lab/work/@h@o@u@r@s_@f@i@l@t@e@r/_primary.dbs
alarm/lab/work/@h@o@u@r@s_@f@i@l@t@e@r/_primary.vhd
alarm/lab/work/@m@u@x/verilog.psm
alarm/lab/work/@m@u@x/_primary.dat
alarm/lab/work/@m@u@x/_primary.dbs
alarm/lab/work/@m@u@x/_primary.vhd
alarm/lab/work/@t@o@p/verilog.psm
alarm/lab/work/@t@o@p/_primary.dat
alarm/lab/work/@t@o@p/_primary.dbs
alarm/lab/work/@t@o@p/_primary.vhd
alarm/lab/work/_info
alarm/lab/work/_vmake
alarm/lixiaoming/ALARM_BLOCK.v
alarm/lixiaoming/ALARM_BLOCK_tb.v
alarm/lixiaoming/ALARM_COUNTER.v
alarm/lixiaoming/ALARM_SM_2.V
alarm/lixiaoming/ALARM_SM_2_tb.v
alarm/lixiaoming/ALARM_STATE_MACHINE.v
alarm/lixiaoming/COMPARATOR.v
alarm/lixiaoming/COMPARATOR_tb.v
alarm/lixiaoming/CONVERTOR_CKT.v
alarm/lixiaoming/CONVERTOR_CKT.v.bak
alarm/lixiaoming/CONVERTOR_CKT_tb.v
alarm/lixiaoming/MUX.V
alarm/lixiaoming/MUX_tb.v
alarm/lixiaoming/SEVEN_SEG_CONVERTOR.v
alarm/lixiaoming/TIME_BLOCK.v
alarm/lixiaoming/TIME_BLOCK_tb.v
alarm/lixiaoming/TIME_COUNTER.v
alarm/lixiaoming/TIME_STATE_MACHINE.v
alarm/lixiaoming/TOP.v
alarm/lixiaoming/TOP.v.bak
alarm/lixiaoming/TOP_tb.v
alarm/lixiaoming/transcript
alarm/lixiaoming/work/_info
alarm/lixiaoming/xiaoming.cr.mti
alarm/lixiaoming/xiaoming.mpf
alarm/mux.v
alarm/mux.v.bak
alarm/sev_convertor.v.bak
alarm/testb_alarm_block.v
alarm/testb_alarm_block.v.bak
alarm/testb_alarm_sm_2.v
alarm/testb_time_block.v
alarm/testb_time_block.v.bak
alarm/time_block.v
alarm/time_block.v.bak
alarm/time_counter.v
alarm/time_counter.v.bak
alarm/time_state_machine.v
alarm/time_state_machine.v.bak
alarm/transcript
alarm/vsim.wlf
alarm/work/alarm_block/verilog.psm
alarm/work/alarm_block/_primary.dat
alarm/work/alarm_block/_primary.dbs
alarm/work/alarm_block/_primary.vhd
alarm/work/alarm_counter/verilog.psm
alarm/work/alarm_counter/_primary.dat
alarm/work/alarm_counter/_primary.dbs
alarm/work/alarm_counter/_primary.vhd
alarm/work/alarm_sm_2/verilog.psm
alarm/work/alarm_sm_2/_primary.dat
alarm/work/alarm_sm_2/_primary.dbs
alarm/work/alarm_sm_2/_primary.vhd
alarm/work/alarm_state_machine/verilog.psm
alarm/work/alarm_state_machine/_primary.dat
alarm/work/alarm_state_machine/_primary.dbs
alarm/work/alarm_state_machine/_primary.vhd
alarm/work/comparator/verilog.psm
alarm/work/comparator/_primary.dat
alarm/work/comparator/_primary.dbs
alarm/work/comparator/_primary.vhd
alarm/work/convertor/verilog.psm
alarm/work/convertor/_primary.dat
alarm/work/convertor/_primary.dbs
alarm/work/convertor/_primary.vhd
alarm/work/convertor_ckt/verilog.psm
alarm/work/convertor_ckt/_primary.dat
alarm/work/convertor_ckt/_primary.dbs
alarm/work/convertor_ckt/_primary.vhd
alarm/work/mux/verilog.psm
alarm/work/mux/_primary.dat
alarm/work/mux/_primary.dbs
alarm/work/mux/_primary.vhd
alarm/work/testb_alarm_block/verilog.psm
alarm/work/testb_alarm_block/_primary.dat
alarm/work/testb_alarm_block/_primary.dbs
alarm/work/testb_alarm_block/_primary.vhd
alarm/work/testb_time_block/verilog.psm
alarm/work/testb_time_block/_primary.dat
alarm/work/testb_time_block/_primary.dbs
alarm/work/testb_time_block/_primary.vhd
alarm/work/time_block/verilog.psm
alarm/work/time_block/_primary.dat
alarm/work/time_block/_primary.dbs
alarm/work/time_block/_primary.vhd
alarm/work/time_counter/verilog.psm
alarm/work/time_counter/_primary.dat
alarm/work/time_counter/_primary.dbs
alarm/work/time_counter/_primary.vhd
alarm/work/time_state_machine/verilog.psm
alarm/work/time_state_machine/_primary.dat
alarm/work/time_state_machine/_primary.dbs
alarm/work/time_state_machine/_primary.vhd
alarm/work/_info
alarm/work/_temp/vlog00nwcm
alarm/work/_temp/vlog0ct9vs
alarm/work/_temp/vlog0hg4a4
alarm/work/_temp/vlog11ty5f
alarm/work/_temp/vlog1xbtxj
alarm/work/_temp/vlog

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com