CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:RISCcpu

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    137.58kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

this verilog model of RISC CPU-this is verilog model of RISC CPU
相关搜索: risc cpu

(系统自动生成,下载前可以参看下载内容)

下载文件列表

lab10/.simvision/dbrowser-bookmarks
lab10/.simvision/schematic-bookmarks
lab10/.simvision/source-bookmarks
lab10/aasd.v
lab10/aasd.v~
lab10/alu.v
lab10/alu.v~
lab10/clk_gen.v
lab10/clk_gen.v~
lab10/control.v
lab10/control.v~
lab10/counter.v
lab10/counter.v~
lab10/cpu.v
lab10/cpu.v~
lab10/INCA_libs/irun.lnx86.08.20.nc/.ncrun.lock
lab10/INCA_libs/irun.lnx86.08.20.nc/.ncv.lock
lab10/INCA_libs/irun.lnx86.08.20.nc/bind.lst.lnx86
lab10/INCA_libs/irun.lnx86.08.20.nc/cds.lib
lab10/INCA_libs/irun.lnx86.08.20.nc/cdsrun.lib
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_19944/ncsim.args
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_19944/ncsim.env
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_19944/ncverilog.args
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_20811/ncsim.args
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_20811/ncsim.env
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_20811/ncverilog.args
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_21494/ncsim.args
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_21494/ncsim.env
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_21494/ncverilog.args
lab10/INCA_libs/irun.lnx86.08.20.nc/files.ts
lab10/INCA_libs/irun.lnx86.08.20.nc/hdl.var
lab10/INCA_libs/irun.lnx86.08.20.nc/hdlrun.var
lab10/INCA_libs/irun.lnx86.08.20.nc/ncelab.args
lab10/INCA_libs/irun.lnx86.08.20.nc/ncelab.env
lab10/INCA_libs/irun.lnx86.08.20.nc/ncelab.hrd
lab10/INCA_libs/irun.lnx86.08.20.nc/ncsim.args
lab10/INCA_libs/irun.lnx86.08.20.nc/ncsim.env
lab10/INCA_libs/irun.lnx86.08.20.nc/ncsim_restart.args
lab10/INCA_libs/irun.lnx86.08.20.nc/ncsim_restart.env
lab10/INCA_libs/irun.lnx86.08.20.nc/ncverilog.args
lab10/INCA_libs/irun.lnx86.08.20.nc/ncvlog.args
lab10/INCA_libs/irun.lnx86.08.20.nc/ncvlog.env
lab10/INCA_libs/irun.lnx86.08.20.nc/ncvlog.files
lab10/INCA_libs/snap.nc/.ncrun.lock
lab10/INCA_libs/snap.nc/.ncv.lock
lab10/INCA_libs/snap.nc/bind.lst.lnx86
lab10/INCA_libs/snap.nc/cds.lib
lab10/INCA_libs/snap.nc/cdsrun.lib
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_19944/ncsim.args
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_19944/ncsim.env
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_19944/ncverilog.args
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_20811/ncsim.args
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_20811/ncsim.env
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_20811/ncverilog.args
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_21494/ncsim.args
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_21494/ncsim.env
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_21494/ncverilog.args
lab10/INCA_libs/snap.nc/files.ts
lab10/INCA_libs/snap.nc/hdl.var
lab10/INCA_libs/snap.nc/hdlrun.var
lab10/INCA_libs/snap.nc/ncelab.args
lab10/INCA_libs/snap.nc/ncelab.env
lab10/INCA_libs/snap.nc/ncelab.hrd
lab10/INCA_libs/snap.nc/ncsim.args
lab10/INCA_libs/snap.nc/ncsim.env
lab10/INCA_libs/snap.nc/ncsim_restart.args
lab10/INCA_libs/snap.nc/ncsim_restart.env
lab10/INCA_libs/snap.nc/ncverilog.args
lab10/INCA_libs/snap.nc/ncvlog.args
lab10/INCA_libs/snap.nc/ncvlog.env
lab10/INCA_libs/snap.nc/ncvlog.files
lab10/INCA_libs/worklib/.cdsvmod
lab10/INCA_libs/worklib/.inca.db.169.lnx86
lab10/INCA_libs/worklib/cdsinfo.tag
lab10/INCA_libs/worklib/inca.lnx86.169.pak
lab10/mem_file.txt
lab10/mem_file.txt~
lab10/ncverilog.key
lab10/ncverilog.log
lab10/ram.v
lab10/ram.v~
lab10/register.v
lab10/register.v~
lab10/risedge.v
lab10/risedge.v~
lab10/run.f
lab10/run.f~
lab10/scale_mux.v
lab10/scale_mux.v~
lab10/tb_cpu.v
lab10/tb_cpu.v~
lab10/tb_risedge.v
lab10/tb_risedge.v~
lab10/waves.shm/waves.dsn
lab10/waves.shm/waves.trn
lab10/xyz.v
lab10/xyz.v~
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_19944
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_20811
lab10/INCA_libs/irun.lnx86.08.20.nc/dcd144.ecs.csun.edu_21494
lab10/INCA_libs/irun.lnx86.08.20.nc/temp
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_19944
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_20811
lab10/INCA_libs/snap.nc/dcd144.ecs.csun.edu_21494
lab10/INCA_libs/snap.nc/temp
lab10/INCA_libs/irun.lnx86.08.20.nc
lab10/INCA_libs/snap.nc
lab10/INCA_libs/worklib
lab10/.simvision
lab10/INCA_libs
lab10/waves.shm
lab10

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com